Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Liesbeth Witters is active.

Publication


Featured researches published by Liesbeth Witters.


symposium on vlsi technology | 2007

Highly manufacturable FinFETs with sub-10nm fin width and high aspect ratio fabricated with immersion lithography

M.J.H. van Dal; Nadine Collaert; G. Doornbos; G. Vellianitis; G. Curatola; Bartek Pawlak; Ray Duffy; C. Jonville; B. Degroote; E. Altamirano; E. Kunnen; Marc Demand; S. Beckx; T. Vandeweyer; C. Delvaux; F. Leys; Andriy Hikavyy; Rita Rooyackers; M. Kaiser; R. G. R. Weemaes; S. Biesemans; Malgorzata Jurczak; K.G. Anil; Liesbeth Witters; R.J.P. Lander

We investigate scalability, performance and variability of high aspect ratio trigate FinFETs fabricated with 193 nm immersion lithography and conventional dry etch. FinFETs with fin widths down to 5nm are achieved with record aspect ratios of 13. Excellent nMOS and pMOS performance is demonstrated for narrow fins and short gates. Further improvement in nMOS performance can be achieved by eliminating access resistance that is currently attributed to poor re-crystallization of implantation damage in narrow fins. Fully-depleted FinFETs show strongly improved short channel effect (SCE) control when the fin width is scaled, even without halo-implants. Nearly ideal DIBL and sub-threshold slope (SS) are achieved down to 30nm gate length. Low leakage devices are realized by combining a fully depleted channel, HfSiO high-k dielectric, mid-gap TiN metal electrodes, and aggressive fin width scaling. Symmetrical threshold voltages (±0.35 V) are achieved. It is demonstrated that selective epitaxial growth on source and drain regions is essential to limit parasitic resistance in narrow fin devices. Parametric spread is dominated by gate length variations in short devices but within-die fin width variations are still evident for long devices.


european solid state device research conference | 2007

Multi-gate devices for the 32nm technology node and beyond

Nadine Collaert; A. De Keersgieter; A. Dixit; I. Ferain; L.-S. Lai; Damien Lenoble; Abdelkarim Mercha; Axel Nackaerts; Bartek Pawlak; Rita Rooyackers; T. Schulz; K.T. Sar; Nak-Jin Son; M.J.H. Van Dal; Peter Verheyen; K. von Arnim; Liesbeth Witters; De Meyer; S. Biesemans; M. Jurczak

Due to the limited control of the short channel effects, the high junction leakage caused by band-to-band tunneling and the dramatically increased VT statistical fluctuations, the scaling of planar bulk MOSFETs becomes more and more problematic with every technology node. The ITRS roadmap predicts that from the 32 nm technology node on planar bulk devices will not be able to meet the stringent leakage requirements anymore and that multi-gate devices will be required. In this paper, the suitability of FinFET based multi-gate devices for the 32 nm technology and beyond will be discussed. Apart from the benefits, some technological challenges will be addressed.


international reliability physics symposium | 2012

Impact of single charged gate oxide defects on the performance and scaling of nanoscaled FETs

Jacopo Franco; B. Kaczer; M. Toledano-Luque; Ph. Roussel; Jerome Mitard; Lars-Ake Ragnarsson; Liesbeth Witters; T. Chiarella; Mitsuhiro Togo; Naoto Horiguchi; Guido Groeseneken; M. F. Bukhori; Tibor Grasser; Asen Asenov

We report extensive statistical NBTI reliability measurements of nanoscaled FETs of different technologies, based on which we propose a 1/area scaling rule for the statistical impact of individual charged gate oxide defects on the electrical characteristic of deeply scaled transistors. Among the considered technologies, nanoscaled SiGe channel devices show smallest time-dependent variability. Furthermore, we report comprehensive measurements of the impact of individual trapped charges on the entire FET ID-VG characteristic. Comparing with 3D atomistic device simulations, we identify several characteristic behaviors depending on the interplay between the location of the oxide defect and the underlying random dopant distribution.


international soi conference | 2008

Comparison of scaled floating body RAM architectures

Nadine Collaert; M. Rosmeulen; M. Rakowskia; Rita Rooyackers; Liesbeth Witters; A. Veloso; J. Van Houdt; M. Jurczak

In this work, we have compared different FB-RAM architectures. Whereas highly doped PDSOI devices show high programming window and retention times for long channel devices, the SOI FinFET devices with WFIN=25 nm can be scaled down to LG=50 nm while still maintaining high cell margins and retention times. For the latter devices optimization of the write and especially read bias conditions is needed.


IEEE Transactions on Electron Devices | 2013

SiGe Channel Technology: Superior Reliability Toward Ultrathin EOT Devices—Part I: NBTI

Jacopo Franco; Ben Kaczer; Philippe Roussel; Jerome Mitard; Moonju Cho; Liesbeth Witters; Tibor Grasser; Guido Groeseneken

We report extensive experimental results of the negative bias temperature instability (NBTI) reliability of SiGe channel pMOSFETs as a function of the main gate-stack parameters. The results clearly show that this high-mobility channel technology offers significantly improved NBTI robustness compared with Si-channel devices, which can solve the reliability issue for sub-1-nm equivalent-oxide-thickness devices. A physical model is proposed to explain the intrinsically superior NBTI robustness.


IEEE Transactions on Electron Devices | 2011

Investigation of Strain Engineering in FinFETs Comprising Experimental Analysis and Numerical Simulations

F. Conzatti; N. Serra; David Esseni; M. De Michielis; Alan Paussa; Pierpaolo Palestri; L. Selmi; Stephen M. Thomas; Terry E. Whall; D. R. Leadley; E. H. C. Parker; Liesbeth Witters; Martin Hÿtch; E. Snoeck; Ta-Wei Wang; Wen-Chin Lee; G. Doornbos; G. Vellianitis; M.J.H. van Dal; R. J. P. Lander

This study combines direct measurements of strain, electrical mobility measurements, and a rigorous modeling approach to provide insights about strain-induced mobility enhancement in FinFETs and guidelines for device optimization. Good agreement between simulated and measured mobility is obtained using strain components measured directly at device level by a novel holographic technique. A large vertical compressive strain is observed in metal gate FinFETs, and the simulations show that this helps recover the electron mobility disadvantage of the (110) FinFET lateral interfaces with respect to (100) interfaces, with no degradation of the hole mobility. The model is then used to systematically explore the impact of stress components in the fin width, height, and length directions on the mobility of both n- and p-type FinFETs and to identify optimal stress configurations. Finally, self-consistent Monte Carlo simulations are used to investigate how the most favorable stress configurations can improve the on current of nanoscale MOSFETs.


international electron devices meeting | 2013

Understanding the suppressed charge trapping in relaxed- and strained-Ge/SiO 2 /HfO 2 pMOSFETs and implications for the screening of alternative high-mobility substrate/dielectric CMOS gate stacks

Jacopo Franco; Ben Kaczer; Philippe Roussel; Jerome Mitard; Sonja Sioncke; Liesbeth Witters; Hans Mertens; Tibor Grasser; Guido Groeseneken

We study charge trapping in a variety of Ge-based pMOS and nMOS technologies, either with Si passivation and conventional SiO2/HfO2 gate stack, or with GeOx/high-k gate stacks. A general model for understanding this phenomenon in alternative substrate/dielectric systems is proposed. We discuss two different approaches to pursue a reduction of charge trapping in alternative material systems, which will be necessary for achieving reliable high-mobility devices.


IEEE Electron Device Letters | 2007

Demonstration of Asymmetric Gate-Oxide Thickness Four-Terminal FinFETs Having Flexible Threshold Voltage and Good Subthreshold Slope

M. Masahara; R. Surdeanu; Liesbeth Witters; G. Doornbos; V.H. Nguyen; G. Van den bosch; C. Vrancken; K. Devriendt; F. Neuilly; Eddy Kunnen; M. Jurczak; S. Biesemans

Flexibly controllable threshold-voltage (V<sub>th</sub>) asymmetric gate-oxide thickness (T<sub>ox</sub>) four-terminal (4T) FinFETs with HfO<sub>2</sub> [equivalentoxidethickness(EOT)=1.4 nm] for the drive gate and HfO<sub>2</sub>+thick SiO<sub>2</sub> (EOT=6.4-9.4 nm) for the V<sub>th</sub>-control gate have been successfully fabricated by utilizing ion-bombardment-enhanced etching process. Owing to the slightly thick V<sub>th</sub>-control gate oxide, the subthreshold slope (S) is significantly improved as compared to the symmetrically thin T<sub>ox</sub> 4T-FinFETs. As a result, the asymmetric T<sub>ox</sub> 4T-FinFETs gain higher I<sub>on</sub> than that for the symmetrically thin T<sub>ox</sub> 4T-FinFETs under the same I<sub>off</sub> conditions


european solid-state circuits conference | 2009

Migrating from planar to FinFET for further CMOS scaling: SOI or bulk?

T. Chiarella; Liesbeth Witters; Abdelkarim Mercha; C. Kerner; R. Dittrich; M. Rakowski; C. Ortolland; Lars-Ake Ragnarsson; B. Parvais; A. De Keersgieter; S. Kubicek; A. Redolfi; Rita Rooyackers; C. Vrancken; S. Brus; A. Lauwers; P. Absil; S. Biesemans; T. Hoffmann

The multi-gate architecture is considered as a key enabler for further CMOS scaling. FinFETs can readily be manufactured on SOI or bulk substrates. We report for the first time an extensive benchmark of their critical electrical figures of merit. Both alternatives show better scalability than PLANAR CMOS and exhibit similar intrinsic device performance. Introducing SOI substrates and low doped fins results in lower junction capacitance, higher mobility and voltage gain with reduced mismatch. Using an optimized integration to minimize parasitics we demonstrate high-performing FinFET ring-oscillators with delays down to 10ps/stage for both SOI and bulk FinFETs and working SRAM cells at VDD=1.0V.


international electron devices meeting | 2013

Strained Germanium quantum well pMOS FinFETs fabricated on in situ phosphorus-doped SiGe strain relaxed buffer layers using a replacement Fin process

Liesbeth Witters; Jerome Mitard; R. Loo; Geert Eneman; Hans Mertens; David P. Brunco; S. H. Lee; Niamh Waldron; Andriy Hikavyy; Paola Favia; Alexey Milenin; Y. Shimura; C. Vrancken; Hugo Bender; Naoto Horiguchi; K. Barla; Aaron Thean; Nadine Collaert

Strained Ge p-channel FinFETs on Strain Relaxed SiGe are reported for the first time, demonstrating peak transconductance gmSAT of 1.3mS/μm at VDS=-0.5V and good short channel control down to 60nm gate length. Optimization of P-doping in the SiGe, optimized Si cap passivation thickness on the Ge, and improved gate wrap of the channel all improve device characteristics. The Ge FinFETs presented in this work outperform published relaxed Ge FinFET devices for the gmSAT/SSSAT benchmarking metric.

Collaboration


Dive into the Liesbeth Witters's collaboration.

Top Co-Authors

Avatar

Jerome Mitard

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Nadine Collaert

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Andriy Hikavyy

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Aaron Thean

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Geert Eneman

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Jacopo Franco

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Hugo Bender

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Naoto Horiguchi

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Paola Favia

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge