Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Louis Kindt is active.

Publication


Featured researches published by Louis Kindt.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Characterization of binary and attenuated phase shift mask blanks for 32nm mask fabrication

Thomas B. Faure; Emily Gallagher; Michael S. Hibbs; Louis Kindt; Ken Racette; Richard Wistrom; Amy E. Zweber; Alfred Wagner; Yasutaka Kikuchi; Toru Komizo; Satoru Nemoto

During the development of optical lithography extensions for 32nm, both binary and attenuated phase shift Reticle Enhancement Technologies (RETs) were evaluated. The mask blank has a very strong influence on the minimum feature size and critical dimension (CD) performance that can be achieved on the finished reticle and can have a significant impact on the ultimate wafer lithographic performance. Development of a suitable high resolution binary mask making process was particularly challenging. Standard chrome on glass (COG) binary blanks with 70 nm thick chrome films were unable to support the required minimum feature size, linearity, and through pitch requirements. Two alternative mask blank configurations were evaluated for use in building high resolution binary masks: a binary (BIN) mask blank based on the standard attenuated PSM blank and an Opaque MoSi on Glass (OMOG) mask blank consisting of a newly- developed opaque MoSi [1]. Data comparing the total process bias, minimum feature size, CD uniformity, linearity, through pitch, etch loading effects, flatness, film stress, cleaning durability and radiation durability performance of the different binary and attenuated PSM mask blanks are reported. The results show that the new OMOG binary blank offers significant mask performance benefits relative to the other binary and attenuated PSM mask blanks. The new OMOG blank was the opaque mask blank candidate most capable of meeting 32nm binary mask fabrication requirements..


Proceedings of SPIE | 2011

EUV masks under exposure: practical considerations

Emily Gallagher; Gregory McIntyre; Tom Wallow; Sudharshanan Raghunathan; Obert Wood; Louis Kindt; John Whang; Monica Barrett

This paper focuses on the practical side of EUV mask metrology and use. Mask metrics such as film thickness, material properties, feature profile, critical feature size, line edge/width roughness (LER/LWR) and defect levels are measured and monitored on the mask. Any variability in mask properties will be transferred to wafer print results. EUV masks have no pellicle and will be cleaned between exposures to extend use. This additional processing creates new opportunity for modifications to the mask after qualification. This paper quantifies mask variability and the induced change to printed wafer critical dimension (CD). The results are compared to the 56nm wafer pitch targets for CD and LER. This EUV-specific effort is required to determine how close EUV masks are to meeting manufacturing requirements and whether there are areas of development that require additional focus from the industry.


Journal of Vacuum Science & Technology B | 2001

Patterning-induced image placement distortions on electron beam projection lithography membrane masks

Michael J. Lercel; Christopher Magg; Mark Lawliss; Carey T. Williams; Neal Caldwell; Robin Ackel; Louis Kindt; Kenneth C. Racette; Phillip L. Reu; Roxann L. Engelstad; R. Scott Mackay

Membrane masks are needed for charged particle lithography and can include both stencil masks and masks with thin continuous membranes. Producing accurate image placement on membrane masks requires careful control of mask shape, pattern writing, and stress control of the mask materials. Pattern density and pattern density gradients also affect image placement (IP) control. This article discusses IP distortions on electron projection lithography masks caused by patterning the imaging layers with low and high density patterns and patterns with large gradients in the density. The process-induced distortion has been found to be largest with the largest vector distortion at the boundary when high pattern density gradients are present. The anisotropic stiffness of the unit cell also affects the process-induced distortion. Qualitatively, the results between continuous membrane and stencil masks show similar characters. The results provide distortion information that could be used to determine the maximum allowab...


Proceedings of SPIE | 2015

EUV mask cleans comparison of frontside and dual-sided concurrent cleaning

Lin Lee Cheong; Louis Kindt; Christina Turley; Dusty Leonhard; John Boyle; Christopher F. Robinson; Jed H. Rankin; Daniel Corliss

The cleaning requirements for EUV masks are more complex than optical masks due to the absence of available EUVcompatible pellicles. EUV masks must therefore be capable of undergoing more than 100 cleaning cycles with minimum impact to lithographic performance. EUV masks are created on substrates with 40 multilayers of silicon and molybdenum to form a Bragg reflector, capped with a 2.5nm-thick ruthenium layer and a tantalum-based absorber; during usage, both ruthenium and absorber are exposed to the cleaning process. The CrN layer on the backside is used to enable electrostatic clamping. This clamp side must also be free of particles that could impact printing and overlay, and particles could also potentially migrate to the frontside and create defects. Thus, the cleaning process must provide decent particle removal efficiencies on both front- and backside while maintaining reflectivity with minimal surface roughness change. In this paper, we report progress developing a concurrent patterned-side and clamped-side cleaning process that achieves minimal reflectivity change over 120 cleaning cycles, with XPS and EDS indicating the presence of ruthenium after 125 cleaning cycles. The change in surface roughness over 100 cleaning cycles is within the noise (0.0086nm) on a mask blank, and SEM inspection of 100nm and 200nm features on patterned masks after undergoing 100 cleaning cycles show no indications of ruthenium pitting or significant surface damage. This process was used on test masks to remove particles from both sides that would otherwise inhibit these masks from being used in the scanner.


Photomask Technology 2011 | 2011

The trade-offs between thin and thick absorbers for EUV photomasks

Gregory McIntyre; Christian Zuniga; Emily Gallagher; John Whang; Louis Kindt

Through a series of experiments and simulation studies, this paper will explore the lithographic impact of absorber thickness choice on an EUV photomask and highlight the trade-offs that exist between thick and thin absorbers. Fundamentally, thinning the absorber modifies the intensity and phase of light reflected from the absorber while simultaneously decreasing in the influence of feature edge topography. The decision to deploy a thinner absorber depends on which imaging effect has a smaller impact after practical mitigation and correction strategies are employed. These effects and the ability to correct for them are investigated by evaluating the absorber thickness impact on lithographic imaging performance, stray light effects, topography effects, and CD variability. Although various tradeoffs are described, it is generally concluded that thinning the absorber thickness below around 68 nm is not recommended for a TaBN/TaBO absorber stack.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Damage mechanisms and process optimization for photomasks with sub-resolution assist features

Louis Kindt; Emily Gallagher; J. Levin; Y. Kodera; Y. Okawa; Y. Sasaki

Sub-Resolution Assist Features (SRAFs) are typically the smallest features on a photomask and amplify many of the challenges in mask manufacturing. During the initial stages of process development, resist feature adhesion is the dominate damage mechanism. Throughout mask fabrication, the influence of inherent material properties and wet processing can eventually delaminate SRAFs. The various mechanisms that cause SRAF damage will be presented systematically. Process optimization steps to address the failure mechanisms will be presented. Data illustrating the improved process window for small features will be included.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Sulfur-free cleaning strategy for advanced mask manufacturing

Louis Kindt; Andrew J. Watts; Jay S. Burnham; William A. Aaskov

Existing cleaning technology using sulfuric acid based chemistry has served the mask industry quite well over the years. However, the existence of residue on mask surfaces is becoming more and more of a problem at the high energy wavelengths used in lithography tool for wafer manufacturing. This is evident by the emergence of sub-pellicle defect growth and backside hazing issues. A large source of residual contamination on the surface of masks is from the mask manufacturing process, particularly the cleaning portion involving sulfuric acid. Cleaning strategies can be developed that eliminate the use of sulfuric acid in the cleaning process for advanced photomasks and alternative processes can be used for cleaning masks at various stages of the manufacturing process. Implementation of these new technologies into manufacturing will be discussed as will the resulting improvements, advantages, and disadvantages over pre-existing mask cleaning processes.


Photomask Japan 2015: Photomask and Next-Generation Lithography Mask Technology XXII | 2015

Exploring EUV mask backside defectivity and control methods

Christina Turley; Jed H. Rankin; Louis Kindt; Mark Lawliss; Luke Bolton; Kevin W. Collins; Lin Cheong; Ravi Bonam; Richard Poro; Takeshi Isogawa; Eisuke Narita; Masayuki Kagawa

The backside of photomasks have been largely ignored during the last several decades of development, with the exception of avoiding gross damage or defects, as almost all problems are far enough out of the focal plane to have minimal effect on imaging. Since EUV masks are reflective, and the column is held in a vacuum, scanners have been designed to utilize electrostatic chucking. With the chucking system for EUV, the requirements for the backside of the mask must be redefined to integrate concerns in substrate design, mask manufacturing, and usage. The two key concerns with respect to an electrostatic chuck are defects and durability. Backside defects can affect imaging, while potentially damaging or contaminating the tool, the mask, or even subsequently used masks. Compromised durability, from either usage or cleaning, can affect the ability of the chuck to hold the mask in place. In this study, these concerns are evaluated in three stages: minimizing defects created during mask fabrication, actions taken upon discovery of defects, and durability of the backside film with continued cleans and chucking. Data incorporated in this study includes: sheet resistance, film thickness, and optical inspection images. Incorporating the data from the three stages of fabrication, disposition, and lifetime will help us define how to structure backside EUV mask handling during mask manufacture and indicate what further solutions are needed as EUV technology transitions into manufacturing.


SPIE Photomask Technology | 2011

High resolution mask process and substrate for 20nm and early 14nm node lithography

Tom Faure; Satoshi Akutagawa; Karen D. Badger; Louis Kindt; Jun Kotani; Takashi Mizoguchi; Satoru Nemoto; Kazunori Seki; Tasuku Senna; Richard Wistrom; Shinich Igarashi; Yukio Inazuki; Kazuhiro Nishikawa; Hiroki Yoshikawa

The lithography challenges posed by the 20 nm and 14 nm nodes continue to place strict minimum feature size requirements on photomasks. The wide spread adoption of very aggressive Optical Proximity Correction (OPC) and computational lithography techniques that are needed to maximize the lithographic process window at 20 nm and 14 nm groundrules has increased the need for sub-resolution assist features (SRAFs) down to 50 nm on the mask. In addition, the recent industry trend of migrating to use of negative tone develop and other tone inversion techniques on wafer in order to use bright field masks with better lithography process window is requiring mask makers to reduce the minimum feature size of opaque features on the reticle such as opaque SRAFs. Due to e-beam write time and pattern fidelity requirements, the increased use of bright field masks means that mask makers must focus on improving the resolution of their negative tone chemically amplified resist (NCAR) process. In this paper we will describe the development and characterization of a high resolution bright field mask process that is suitable for meeting 20 nm and early 14 nm optical lithography requirements. Work to develop and optimize use of an improved chrome hard mask material on the thin OMOG binary mask blank1 in order to resolve smaller feature sizes on the mask will be described. The improved dry etching characteristics of the new chrome hard mask material enabled the use of a very thin (down to 65 nm) NCAR resist. A comparison of the minimum feature size, linearity, and through pitch performance of different NCAR resist thicknesses will also be described. It was found that the combination of the improved mask blank and thinner NCAR could allow achievement of 50 nm opaque SRAFs on the final mask.. In addition, comparisons of the minimum feature size performance of different NCAR resist materials will be shown. A description of the optimized cleaning processes and cleaning durability of the 50 nm opaque SRAFs will be provided. Furthermore, the defect inspection results of the new high resolution mask process and substrate will be shared.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Development and Characterization of a Thinner Binary Mask Absorber for 22 nm node and Beyond

Tom Faure; Karen D. Badger; Louis Kindt; Yutaka Kodera; Toru Komizo; Shinpei Kondo; Takashi Mizoguchi; Satoru Nemoto; Kazunori Seki; Tasuku Senna; Richard Wistrom; Amy E. Zweber; Kazuhiro Nishikawa; Yukio Inazuki; Hiroki Yoshikawa

The lithography challenges posed by the 22 nm node continue to place stringent requirements on photomasks. The dimensions of the mask features continue to shrink more deeply into the sub-wavelength scale. In this regime residual mask electromagnetic field (EMF) effects due to mask topography can degrade the imaging performance of critical mask patterns by degrading the common lithography process window and by magnifying the impact of mask errors or MEEF. Based on this, an effort to reduce the mask topography effect by decreasing the thickness of the mask absorber was conducted. In this paper, we will describe the results of our effort to develop and characterize a binary mask substrate with an absorber that is approximately 20-25% thinner than the absorber on the current Opaque MoSi on Glass (OMOG) binary mask substrate. For expediency, the thin absorber development effort focused on using existing absorber materials and deposition methods. It was found that significant changes in film composition and structure were needed to obtain a substantially thinner blank while maintaining an optical density of 3.0 at 193 nm. Consequently, numerous studies to assess the mask making performance of the thinner absorber material were required and will be described. During these studies several significant mask making advantages of the thin absorber were discovered. The lower film stress and thickness of the new absorber resulted in improved mask flatness and up to a 60% reduction in process-induced mask pattern placement change. Improved cleaning durability was another benefit. Furthermore, the improved EMF performance of the thinner absorber [1] was found to have the potential to relieve mask manufacturing constraints on minimum opaque assist feature size and opaque corner to corner gap. Based on the results of evaluations performed to date, the thinner absorber has been found to be suitable for use for fabricating masks for the 22 nm node and beyond.

Researchain Logo
Decentralizing Knowledge