Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Margaret C. Lawson is active.

Publication


Featured researches published by Margaret C. Lawson.


Journal of Vacuum Science & Technology B | 2004

Effect of thin-film imaging on line edge roughness transfer to underlayers during etch processes

Dario L. Goldfarb; Arpan P. Mahorowala; Gregg M. Gallatin; Karen Petrillo; Karen Temple; Marie Angelopoulos; Stacy Rasgon; Herbert H. Sawin; Scott D. Allen; Margaret C. Lawson; Ranee W. Kwong

For the patterning of sub-100 nm features, a clear understanding of the origin and control of line edge roughness (LER) is extremely desirable, from a fundamental as well as a manufacturing perspective. With the migration to thin photoresists coupled with bottom antireflective coating (ARC)-hardmask underlayers, LER analysis of the developed resist structures is perhaps an inaccurate representation of the substrate roughness after the etch process, since those underlayers can play a significant role in increasing/decreasing linewidth variations during the image transfer process and hence can impact the device performance. In this article, atomic force microscopy is used to investigate the contribution of the imaging resist sidewall topography to the sidewall roughness of the final etched feature in thin photoresists, ARC, and hardmasks. Resist systems suitable for 248 and 193 nm lithography as well as fluorine-containing resists were processed using N2-H2 or fluorocarbon plasma etch. It is shown that the ...


Proceedings of SPIE | 2007

Fluoro-alcohol materials with tailored interfacial properties for immersion lithography

Daniel P. Sanders; Linda K. Sundberg; Ratnam Sooriyakumaran; Phillip J. Brock; Richard Anthony DiPietro; Hoa D. Truong; Dolores C. Miller; Margaret C. Lawson; Robert D. Allen

Immersion lithography has placed a number of additional performance criteria on already stressed resist materials. Much work over the past few years has shown that controlling the water-resist interface is critical to enabling high scan rates (i.e. throughput) while minimizing film pulling and PAG extraction (i.e. defectivity). Protective topcoat polymers were developed to control the aforementioned interfacial properties and emerged as key enablers of 193 nm immersion lithography. Achieving the delicate balance between the low surface energies required for high water contact angles (generally achieved via the incorporation of fluorinated groups) and the base solubility required for topcoat removal is challenging. More recently, additional strategies using fluoropolymer materials to control the water-resist interface have been developed to afford topcoat-free resist systems. In our explorations of fluoroalcohol-based topcoat materials, we have discovered a number of structure-property relationships of which advantage can be taken to tailor the interfacial properties of these fluorinated materials. This paper will address the effect of structure on immersion specific properties such as water contact angle, aqueous base contact angle, and dissolution rate.


26th Annual International Symposium on Microlithography | 2001

IBM 193-nm bilayer resist: materials, lithographic performance, and optimization

Ranee W. Kwong; Pushkara Rao Varanasi; Margaret C. Lawson; Timothy Hughes; George M. Jordhamo; Mahmoud Khojasteh; Arpan P. Mahorowala; Ratnam Sooriyakumaran; Phillip J. Brock; Carl E. Larson; Debra Fenzel-Alexander; Hoa D. Truong; Robert D. Allen

193nm lithography will be the future technology for sub- 150nm resolution. As the dimensions get smaller, resist thickness is also needed to be reduced for better resolution and wider process window. Single layer 193nm resist, with thickness of less than 500nm, may not be able to satisfy some of the substrate etch requirement. With bilayer resist scheme, the thin resist offers the advantages of high resolution and good process window. The thick underlayer provides the etch resistance required for substrate etching. IBM has developed a silane substituted alternating copolymer based 193nm bilayer resist system and demonstrates sub-120nm resolution using Nikon 0.6NA stepper with Chrome on Glass (COG) mask. Lithographic performance and formulation optimizations of this 193nm bilayer resist as well as underlayer evaluation and some etch study will be discussed.


Advances in Resist Technology and Processing XX | 2003

Rational design in cyclic olefin resists for sub-100-nm lithography

Wenjie Li; Pushkara Rao Varanasi; Margaret C. Lawson; Ranee W. Kwong; Kuang-Jung Chen; Hiroshi Ito; Hoa D. Truong; Robert D. Allen; Masafumi Yamamoto; Eiichi Kobayashi; Mark Slezak

In an effort to design our next generation resist materials for sub-100nm lithography, we have introduced the hexafluoroisopropanol (HFA) functionality into the cyclic olefin (CO) polymer structure. It is found that the fluorine-containing HFA group not only helps reduce the 157nm optical density (O.D.) of the polymer and makes it suitable for 157nm application, but also dramatically improves the dissolution properties of the resulting CO polymer. Copolymers with a wide range (20-80%) of norbornene HFA (NBHFA) concentrations show little swelling behavior in aqueous base developer. The dissolution properties of the CO polymer could be further improved by combining the HFA and lactone structures in the CO polymers. This new version of CO polymers maintain a good etch resistance and excellent surface roughness after etch despite the fluorine content. Lithographic evaluation of resists based on these polymers (Cobra 5K) using a 193nm exposure tool (0.75 NA) reveals that Cobra 5K has a low post exposure bake (PEB) sensitivity (<0.5 nm/°C), good process window for both line/space (L/S) and contact holes patterns, and outstanding resolution capabilities especially for contacts application (<100nm).


Proceedings of SPIE | 2011

Performance of tri-layer process required for 22 nm and beyond

Yayi Wei; Martin Glodde; Hakeem Yusuff; Margaret C. Lawson; Sang Yil Chang; Kwang Sub Yoon; Chung-Hsi Wu; Mark Kelling

Silicon-containing antireflection coating (SiARC) and spin-on carbon (SOC) under-layers have been widely implemented for advanced semiconductor manufacturing since the 45 nm node. The combination of SiARC and SOC promises a superior solution for reflection control and a high etch selectivity. With the industry marching towards 22 nm and beyond, the tri-layer materials and processes are being finely tuned to meet the requirements. We report comprehensive evaluation results of the SiARC (with high silicon content) and carbon under-layer from manufacturing perspective. It focuses on the performances that are required to extend the tri-layer applications from the original 45 nm nodes to 22 nm and beyond, such as thickness selection, etch selectivity, resist compatibility, rework capability, and under-layer pattern wiggling issues.


Advances in Resist Technology and Processing XXI | 2004

IBM-JSR 193-nm negative tone resist: polymer design, material properties, and lithographic performance

Kaushal S. Patel; Margaret C. Lawson; Pushkara Rao Varanasi; David R. Medeiros; Gregory M. Wallraff; Phillip J. Brock; Richard Anthony DiPietro; Yukio Nishimura; Takashi Chiba; Mark Slezak

It has been previously proposed that negative-tone resist process would have an intrinsic advantage for printing narrow trench geometry. To demonstrate this for 193nm lithography, a negative resist with performance comparable to a leading positive resist is required. In this paper we report the joint development of a hexafluoroalcohol containing, 193nm, negative-tone, chemically amplified resist based on the crosslinking approach. Lithographic performance is presented which includes the ability of the negative-tone resist to print 90nm line/space and isolated trenches with standard resist processing. The impact of the fluorinated polymer on etch performance is also quantified. Finally, key resist characteristics and their influence on performance and limiting factors such as microbridging are discussed.


26th Annual International Symposium on Microlithography | 2001

193-nm single-layer resist materials: total consideration of design, physical properties, and lithographic performances on all major alicyclic platform chemistries

Toru Kajita; Yukio Nishimura; Masafumi Yamamoto; Hiroyuki Ishii; Akimasa Soyano; A. Kataoka; Mark Slezak; Makoto Shimizu; Pushkara Rao Varanasi; G. Jordahamo; Margaret C. Lawson; Rex Chen; William R. Brunsvold; Wenjie Li; Robert D. Allen; Hiroshi Ito; Hoa D. Truong; Thomas I. Wallow

The objective of this report will be to clarify the maturity of the current 193 SLR materials. We are going to report on all major platform chemistries, i.e.,(meth) acrylate system, ROMP system, cyclic olefin addition system, cyclic olefin/maleic anhydride system, vinyl ether/maleic anhydride system, and cyclyzed system at the same time. We are going to discuss maturity of each platform from several viewpoints such as polymerization process, physical properties of the resins, lithographic performances of the resists, and process latitude of the resists including etch performances. We are also referring to several critical issues such as etch resistance, surface roughness after etch, line slimming, etc. Three major platform chemistries, (meth)acrylate, COMA, and addition, are selected in order to cover the whole spectra of layer requirements. Those three systems respectively show characteristics lithographic performances.


Advances in resist technology and processing. Conference | 2005

193nm single layer photoresists: defeating tradeoffs with a new class of fluoropolymers

Pushkara Rao Varanasi; Ranee W. Kwong; Mahmoud Khojasteh; Kaushal S. Patel; Kuang-Jung Chen; Wenjie Li; Margaret C. Lawson; Robert D. Allen; Ratnam Sooriyakumaran; Phillip J. Brock; Linda K. Sundberg; Mark Slezak; Gary Dabbagh; Zhi Liu; Yukio Nishimura; Takashi Chiba; Tsutomu Shimokawa

The focus of this paper is to utilize the acidity of hexafluoroalcohol (HFA) in addressing performance deficiencies associated with current 193nm methacrylate resist materials. In this study, we have designed and developed a variety of HFA pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was shown that typical swelling behavior observed in methacrylate resists can be substantially reduced or eliminated by replacing commonly used multicylcic lactone polar functionalities with acidic HFA side chains. The incorporation of aliphatic spacers between HFA and polymer backbone were found to be more effective than cyclic hindered moieties, in achieving linear dissolution characteristics. The typical poor etch stability associated with fluorine atoms in HFA can be substantially minimized by designing side chains with a combination of appropriate cyclic and aliphatic moieties and fine-tuning the corresponding polymer compositions. PEB sensitivity of high activation energy protecting group (e.g., methyladamentyl group) based methacrylate resists can be substantially improved through the incorporation of acidic HFA side chains (6nm/C to <1 nm/C). The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Design and development of high-performance 193-nm positive resist based on functionalized poly(cyclicolefins)

Pushkara Rao Varanasi; J. Maniscalco; Ann Marie Mewherter; Margaret C. Lawson; George M. Jordhamo; Robert D. Allen; Juliann Opitz; Hiroshi Ito; Thomas I. Wallow; Donald C. Hofer; Leah J. Langsdorf; Saikumar Jayaraman; Richard Vicari

One of the major factors that seem to limit the development of practically useful 193nm resist materials has been their low reactive-ion-etch (RIE) resistance. In this paper, we have shown convincingly that the RIE stability of poly(cyclicolefins) is superior to that of the alternating copolymers such as poly(norbornene-anhydride), and poly(acrylates). We have also shown that a high performance 193nm resist can be developed from functionalized poly(norbornenes) using appropriate formulation and process optimizations.


Proceedings of SPIE | 2007

High-performance 193nm photoresists based on fluorosulfonamide

Wenjie Li; Kuang-Jung Chen; Ranee Kwong; Margaret C. Lawson; Mahmoud Khojasteh; Irene Popova; P. Rao Varanasi; Tsutomu Shimokawa; Yoshikazu Yamaguchi; Shiro Kusumoto; Makoto Sugiura; Takanori Kawakami; Mark Slezak; Gary Dabbagh; Zhi Liu

The combination of immersion lithography and reticle enhancement techniques (RETs) has extended 193nm lithography into the 45nm node and possibly beyond. In order to fulfill the tight pitch and small critical dimension requirements of these future technology nodes, the performance of 193nm resist materials needs to further improve. In this paper, a high performance 193nm photoresist system based on fluorosulfonamide (FSM) is designed and developed. The FSM group has good transparency at 193nm. Compared to the commonly used hexafluoroalcohol (HFA) group, the trifluoromethyl sulfonamide (TFSM) functionality has a lower pKa value and contains less fluorine atoms. Polymers containing the TFSM functionality have exhibited improved dissolution properties and better etch resistance than their HFA counterparts. Resists based on the FSM-containing polymers have shown superior lithographic performance for line, trench and contact hole levels under the 45nm node exposure conditions. In addition, FSM resists have also demonstrated excellent bright field and dark field compatibility and thereby make it possible to use one resist for both bright field and dark field level applications. The structure, property and lithographic performance of the FSM resist system are reported.

Researchain Logo
Decentralizing Knowledge