Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Martin Sczyrba is active.

Publication


Featured researches published by Martin Sczyrba.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Performance comparison of techniques for intra-field CD control improvement

Rainer Pforr; Mario Hennig; Jens Reichelt; Guy Ben Zvi; Martin Sczyrba

Intra-field CD variation is a main contributor to the total CD variation budget in IC manufacturing. It is essentially caused by mask CD variations and imperfections of the exposure tool. Techniques to reduce the IF CD error will be introduced. Tool and mask based CDU improvement techniques will be compared. Their CDU improvement potential and their correction accuracy will be analyzed. The correction methodology will be discussed, specifically none-wafer based CD measurement techniques as correction data input. Implementation efforts of the techniques will be compared.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Pellicle-induced aberrations and apodization in hyper-NA optical lithography

Karsten Bubke; Benjamin Alles; Eric Cotte; Martin Sczyrba; Christophe Pierrat

In 193nm optical lithography, immersion technology will enable numerical apertures much greater than 1.0. Furthermore, polarized light is likely to be applied, enhancing the imaging properties of structures with dimensions near the resolution limit. As a result, the consequences of extreme oblique angle illumination as well as polarization effects need to be carefully evaluated for all elements of the lithographic process. This paper explores the aberrations and apodization induced by the pellicle film in hyper NA lithography. In a first step, the angle and polarization-dependent phase errors of a perfectly flat pellicle are investigated and discussed for varying thicknesses. It will be shown that for NAs greater than 1.0 the pellicle induces higher order spherical aberrations which can be in the range of todays scanner lens specifications. Also, the impact of polarizationdependent apodization will be discussed. In a second step, the analysis is extended to the case of a non-flat pellicle due to a given frame bow. Under these conditions, the phase and transmission error is not radially symmetric and, furthermore, is field dependent. It will be discussed under which conditions this effect can lead to a significant pellicle-induced CD signature over the entire image field.


Proceedings of SPIE | 2014

Critical assessment of the transport of intensity equation as a phase recovery technique in optical lithography

Aamod Shanker; Martin Sczyrba; Brid Connolly; Franklin D. Kalk; Andrew R. Neureuther; Laura Waller

Photomasks are expected to have phase effects near edges due to their 3D topography, which can be modeled as imaginary boundary layers in thin mask simulations. We apply a modified transport of intensity (TIE) phase imaging technique to through-focus aerial images of photomasks in order to recover polarization-dependent edge effects. We use AIMS measurements with 193nm light to study the dependence of recovered phase on mask type and geometry. The TIE is an intensity conservation equation that quantitatively relates phase in the wafer plane to intensity through-focus. Here, we develop a modified version of the TIE for strongly absorbing objects, and apply it to recover wafer plane phase of attenuating masks. The projection printer blurs the fields at the wafer plane by its point spread function, hence an effective deconvolution is used to predict the boundary layers at the mask that best approximate the measured thick mask edge effects. Computation required for the inverse problem is fast and independent of mask geometry, unlike FDTD computations.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Using principal component analysis for photomask CD signature investigations

G. R. Cantrell; Christian Bürgel; Axel Feicke; Martin Sczyrba; Clemens Utzny

Reticle critical dimension (CD) errors must be minimized in order for photomask manufacturers to meet tight CD uniformity (CDU) requirements. Determining the source of reticle CD errors and reducing or eliminating their CDU contributions are some of the most relevant tasks facing process engineers. The AMTC has applied principal component analysis (PCA) to reticle resist CD measurements in order to examine variations in the data. PCA provided the major components of resist CD variation which were rescaled into reticle CD signatures. The dominant component of CD signature variation is very similar in shape and magnitude between two different chemically amplified resist (CAR) processes, most likely indicating the variation source is a common process or tool. CD variational signatures from PCA were used as a basis for launching investigations into potential reticle CD error sources. PCA was further applied to resist CD measurements from alternate process tools to assist efforts in judging the effectiveness of resist CD signature matching.


Photomask and Next-Generation Lithography Mask Technology XVIII | 2011

193-nm radiation durability study of MoSi binary mask and resulting lithographic performance

Isabelle Servin; Jerome Belledent; Laurent Pain; Brid Connolly; Martin Sczyrba; Matt Lamantia

Dimensions on mask continue to shrink to keep up with the ITRS roadmap. This has implications on the material of choice for the blanks. For example, the new binary OMOG stack (Opaque MOSi on Glass) was successfully introduced to meet the mask specifications at the 32nm technology node. Obviously 193-nm optical lithography will be further used in production at even higher NA and lower k1 emphasizing, for example, the impact on wafer of any electromagnetic field migration effects. Indeed, long term radiation damage inducing CD growth and consequently, device yield loss, has already been reported [1, 2]. This mechanism, known as Electric Field induced Migration of chrome (EMF) often shortens the masks lifetime. Here, a study was conducted to investigate the impact of intensive ArF scanner exposure both on final wafer and mask performances. The Si printed wafers measured with top-down CD-SEM were characterized with respect to CD uniformity, linearity, Sub Resolution Assist Feature (SRAF) printability through process window, MEEF, DOF, and OPC accuracy. The data was also correlated to advanced mask inspection results (e.g. AIMSTM) taken at the same location. More precisely, this work follows a preliminary study [1] which pointed out that OMOG is less sensitive to radiation than standard COG (Chrome On Glass). And, in this paper, we report on results obtained at higher energy to determine the ultimate lifetime of OMOG masks.


Proceedings of SPIE | 2009

Multiple layer CD control treatment

Anka Birnstein; Christoph Röpke; Martin Sczyrba; Rainer Pforr; Mario Hennig; Guy Ben-Zvi; Erez Graitzer; Avi Cohen

Tight control of intra-field CD variations becomes more and more important as the pattern sizes on wafer shrink. For intra-field CD uniformity improvement several techniques have been developed. A very effective method is changing the local mask blank transmittance according to measured Intra Field (IF) CD variations using Pixers CDCTM technique. This process is irreversible. For various practical reasons it would be helpful to have the opportunity for a second or more mask blank treatments. A first application could be to improve an unsatisfying CDU post first treatment. A second application can be the switch of the mask usage to another tool group. Furthermore, the opportunity to use multiple CDC treatments would allow the splitting of the correction process for the mask and the tool separately, whereas in a first correction only the mask CDU errors will be corrected and after the mask is supplied to the customer another correction may be required to reduce the exposure tool contributions to the CDU budget. Therefore the intention of the paper is to evaluate the opportunities of a Multiple CDC (MCDC) correction process, to determine its accuracy and the corresponding limits. To do this two CDC tool projection lenses have been characterized, which have been developed for different focus positions. We will characterize their transmittance transfer performance, stability and sensitivities. The required multiple layer distances will be determined. The linearity of the multiple CDC treatment will be analyzed using AIMSTM measurements and wafer prints. We will present results of successful multiple CDC corrections for production masks.


Rundbrief Der Gi-fachgruppe 5.10 Informationssystem-architekturen | 2015

Recovering Curl Using an Iterative Solver for the Transport of Intensity Equation

Aamod Shanker; Lei Tian; Martin Sczyrba; Brid Connolly; Andrew R. Neureuther; Laura Waller

The Transport of Intensity Equation solves for optical phase from through-focus intensity when the in-plane power flow is curl-free, giving artifacts in presence of curl. An iterative solver is shown to correct artifacts and recover power flow curl.


Proceedings of SPIE - The International Society for Optical Engineering | 2015

Absorber topography dependence of phase edge effects

Aamod Shanker; Martin Sczyrba; Brid Connolly; Laura Waller; Andrew R. Neureuther

Mask topography contributes to phase at the wafer plane, even for OMOG binary masks currently in use at the 22nm node in deep UV (193nm) lithography. Here, numerical experiments with rigorous FDTD simulation are used to study the impact of mask 3D effects on aerial imaging, by varying the height of the absorber stack and its sidewall angle. Using a thin mask boundary layer model to fit to rigorous simulations it is seen that increasing the absorber thickness, and hence the phase through the middle of a feature (bulk phase) monotonically changes the wafer-plane phase. Absorber height also influences best focus, revealed by an up/down shift in the Bossung plot (linewidth vs. defocus). Bossung plot tilt, however, responsible for process window variability at the wafer, is insensitive to changes in the absorber height (and hence also the bulk phase). It is seen to depend instead on EM edge diffraction from the thick mask edge (edge phase), but stays constant for variations in mask thickness within a 10% range. Both bulk phase and edge phase are also independent of sidewall angle fluctuation, which is seen to linearly affect the CD at the wafer, but does not alter wafer phase or the defocus process window. Notably, as mask topography varies, the effect of edge phase can be replicated by a thin mask model with 8nm wide boundary layers, irrespective of absorber height or sidewall angle. The conclusions are validated with measurements on phase shifting masks having different topographic parameters, confirming the strong dependence of phase variations at the wafer on bulk phase of the mask absorber.


Proceedings of SPIE | 2015

Characterizing the dependence of thick-mask edge effects on illumination angle using AIMS images

Aamod Shanker; Martin Sczyrba; Falk Lange; Brid Connolly; Andrew R. Neureuther; Laura Waller

Mask topography contributes diffraction-induced phase near edges, affecting the through-focus intensity variation and hence the process window at the wafer. We analyze the impact of edge diffraction on projection printing directly with experiments on an aerial image measurement system (AIMS). We show here that topographic effects change with illumination angle and can be quantified using through-focus intensity measurements. Off- axis incidence influences not just defocus image behavior (as for normal incidence), but also the at-focus intensity at wafer. Moreover, with oblique illumination, mask diffraction varies for left-facing and right-facing sidewalls, the nature of the asymmetry being polarization dependent. The image degradation due the polarization parallel to the sidewall (TE) is seen to be stronger, owing to the interplay of mask topography and pupil filtering in the imaging system. This translates to a CD variation of 2% between the two polarizations, even at focus. A simple thin-mask boundary layer model that treats each sidewall independently is shown to be able to approximate mask topography induced diffraction for both polarizations with 5-10nm wide boundary layers.


Photomask Technology 2013 | 2013

Analysis of edge effects in attenuating phase-shift masks using quantitative phase imaging

Aamod Shanker; Martin Sczyrba; Brid Connolly; Andrew R. Neureuther; Laura Waller

Thick mask electromagnetic edge effects in attenuating phase-shift masks (ATT-PSM) are analyzed by extracting optical phase at the wafer plane from a series of through focus aerial images with 193nm light. The thick edges of an ATT-PSM can lead to phase distortions, creating asymmetric intensity contrast on either side of focus. Here we use through focus intensity images from an AIMS tool to quantitatively recover phase via the Transport of Intensity Equation (TIE). The TIE can recover the effective phase across the mask due to edge effects by analyzing the through focus image stack. We verify a previously proposed model for edge effects by adding quadrature phase boundary layers at the edges during simulation and compare the simulated through focus images with experimental data. After tuning the real and imaginary part of the boundary layer and the angle of the substrate, the simulated through focus behavior agrees with experiment, giving a measure of the edge effects. This leads to comparable quantitative phase profiles recovered at the wafer plane for simulation and experiment with the ATT-PSM. We expect that the method is applicable for the approximation of topographical effects in other types of thick masks as well.

Collaboration


Dive into the Martin Sczyrba's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Aamod Shanker

University of California

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Laura Waller

University of California

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge