Karsten Bubke
GlobalFoundries
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by Karsten Bubke.
Proceedings of SPIE, the International Society for Optical Engineering | 2008
Robert de Kruif; Karsten Bubke; Gert-Jan Janssen; Eddy van der Heijden; Jörg Fochler; Mircea Dusa; Jan Hendrik Peters; Paul de Haas; Brid Connolly
Double Patterning Technology (DPT) is considered the most acceptable solution for 32nm node lithography. Apart from the obvious drawbacks of additional exposure and processing steps and therefore reduced throughput, DPT possesses a number of additional technical challenges. This relates to exposure tool capability, the actual applied process in the wafer fab but also to mask performance. This paper will focus on the latter. We will report on the performance of a two-reticle set based on a design developed to study the impact of mask global and local placement errors on a DPT dual line process. For 32 nm node lithography using DPT a reticle to reticle overlay contribution target of ≤ 1.5nm has been proposed. Reticle based measurements have shown that this proposed target can be met for standard overlay features and dedicated DPT features. In this paper we will present experimental intra field overlay wafer data resulting from the earlier mentioned reticle set. The reticles contain a 13x19 array of modules comprising various standard overlay features such as ASML overlay gratings and bar-in-bar overlay targets. Furthermore the modules contain split 40nm half pitch DPT features. The reticles have been exposed on an ASML XT:1700i on several wafers in multiple fields. Reticle to reticle overlay contribution has been studied in resist (double exposure) and using the IMEC dual line process (DPT). We will show that the reticle to reticle overlay contribution on the wafer is smaller than 1.5nm (1x). We will compare the wafer data with the reticle data, study the correlation and show that reticle to reticle overlay contribution based single mask registration measurements can be used to qualify the reticle to reticle overlay contribution on wafer.
Optical Microlithography XVIII | 2005
Karsten Bubke; Silvio Teuber; Ingo Hoellein; Hans Becker; Ute Buttgereit
As microlithography moves to smaller critical dimensions, structures on reticles reach feature sizes comparable to the operating wavelength. Furthermore, with increasing NA the angle of incidence of light illuminating the mask steadily increases. In particular for immersion lithography this will have severe consequences on the printing behavior of reticles. Polarization effects arise which have an impact on, among other things, the contrast of the printed image. Angular effects have to be considered when aggressive off-axis illumination schemes are used. Whereas numerous articles have been published on those effects and the underlying theory seems to be understood, there is a strong need for experimental verification of properties of real masks at the actinic wavelength. This paper presents measurements of polarization effects on different mask blank types produced at Schott Lithotec including chrome and alternative absorber binary mask blanks, as well as phase shift mask blanks. Thickness and optical dispersion of all layers were determined using grazing incidence x-ray reflectometry (GIXR) and variable angle spectroscopic ellipsometry (VASE). The set of mask blanks was patterned using a special design developed at the Advanced Mask Technology Center (AMTC) to allow measurements at different line width and pitch sizes. VUV Ellipsometry was then used to measure the properties of the structured materials, in particular the intensities in the 0th and 1st diffraction order for both polarization directions and varying angle of incidence. The degree of polarization of respective mask types is evaluated for dense lines with varying pitches and duty cycles. The results obtained experimentally are compared with simulations based on rigorous coupled wave analysis (RCWA).
Optical Microlithography XVIII | 2005
Silvio Teuber; Karsten Bubke; Ingo Höllein; Ralf Ziebold; Jan Hendrik Peters
As the lithographic projection technology of the future will require higher numerical aperture (NA) values, new physical effects will have to be taken into consideration. Immersion lithography will result in NA values of up to 1.2 and above. New optical effects like 3D shadowing, effects from oblique incident angles, mask-induced polarization of the transmitted light and birefringence from the substrate should be considered when the masks optical performance is evaluated. This paper addresses mask induced polarization effects from dense lines-and-space structures of standard production masks. On a binary and on an attenuated phase-shifting mask, which were manufactured at the Advanced Mask Technology Center (AMTC) transmission experimental investigations were performed. Measurements of diffraction efficiencies for TE- and TM-polarized light using three different incident angles are presented for all considered mask types and compared to simulations. The structures under investigation include line-space-pattern with varying pitches as well as varying duty cycles. Experimental results show good agreement with simulations.
Proceedings of SPIE, the International Society for Optical Engineering | 2006
Karsten Bubke; Benjamin Alles; Eric Cotte; Martin Sczyrba; Christophe Pierrat
In 193nm optical lithography, immersion technology will enable numerical apertures much greater than 1.0. Furthermore, polarized light is likely to be applied, enhancing the imaging properties of structures with dimensions near the resolution limit. As a result, the consequences of extreme oblique angle illumination as well as polarization effects need to be carefully evaluated for all elements of the lithographic process. This paper explores the aberrations and apodization induced by the pellicle film in hyper NA lithography. In a first step, the angle and polarization-dependent phase errors of a perfectly flat pellicle are investigated and discussed for varying thicknesses. It will be shown that for NAs greater than 1.0 the pellicle induces higher order spherical aberrations which can be in the range of todays scanner lens specifications. Also, the impact of polarizationdependent apodization will be discussed. In a second step, the analysis is extended to the case of a non-flat pellicle due to a given frame bow. Under these conditions, the phase and transmission error is not radially symmetric and, furthermore, is field dependent. It will be discussed under which conditions this effect can lead to a significant pellicle-induced CD signature over the entire image field.
Proceedings of SPIE | 2010
Uzodinma Okoroanyanwu; Anna Tchikoulaeva; Paul Ackmann; Obert Wood; Bruno La Fontaine; Karsten Bubke; Christian Holfeld; Jan Hendrik Peters; Sumanth Kini; Sterling G. Watson; Isaac Lee; Bo Mu; Phillip Lim; Sudhar Raghunathan; Carol Boye
This paper assesses the readiness of EUV masks for pilot line production. The printability of well characterized reticle defects, with particular emphasis on those reticle defects that cause electrical errors on wafer test chips, is investigated. The reticles are equipped with test marks that are inspected in a die-to-die mode (using DUV inspection tool) and reviewed (using a SEM tool), and which also comprise electrically testable patterns. The reticles have three modules comprising features with 32 nm ground rules in 104 nm pitch, 22 nm ground rules with 80 nm pitch, and 16 nm ground rules with 56 nm pitch (on the wafer scale). In order to determine whether specific defects originate from the substrate, the multilayer film, the absorber stack, or from the patterning process, the reticles were inspected after each fabrication step. Following fabrication, the reticles were used to print wafers on a 0.25 NA full-field ASML EUV exposure tool. The printed wafers were inspected with state of the art bright-field and Deep UV inspection tools. It is observed that the printability of EUV mask defects down to a pitch of 56 nm shows a trend of increased printability as the pitch of the printed pattern gets smaller - a well established trend at larger pitches of 80 nm and 104 nm, respectively. The sensitivity of state-of-the-art reticle inspection tools is greatly improved over that of the previous generation of tools. There appears to be no apparent decline in the sensitivity of these state-of-the-art reticle inspection tools for higher density (smaller) patterns on the mask, even down to 56nm pitch (1x). Preliminary results indicate that a blank defect density of the order of 0.25 defects/cm2 can support very early learning on EUV pilot line production at the 16nm node.
Proceedings of SPIE, the International Society for Optical Engineering | 2006
Christian Holfeld; Karsten Bubke; Falk Lehmann; Bruno La Fontaine; Adam R. Pawloski; Siegfried Schwarzl; Frank-Michael Kamm; Thomas Graf; Andreas Erdmann
Defect-free masks are one of the top issues for enabling EUV lithography at the 32-nm node. Since a defect-free process cannot be expected, an understanding of the defect printability is required in order to derive critical defect sizes for the mask inspection and repair. Simulations of the aerial image are compared to the experimental printing in resist on the wafer. Strong differences between the simulations and the actual printing are observed. In particular the minimum printable defect size is much larger than expected which is explained in terms of resist resolution. The defect printability in the current configuration is limited by the resist process rather than the projection optics.
Proceedings of SPIE, the International Society for Optical Engineering | 2007
Karsten Bubke; Eric Cotte; Jan Hendrik Peters; Robert de Kruif; Mircea Dusa; Joerg Fochler; Brid Connolly
Double patterning (DPT) lithography is seen industry-wide as an intermediate solution for the 32nm node if high index immersion as well as extreme ultraviolet lithography are not ready for a timely release for production. Apart from the obvious drawbacks of additional exposure, processing steps and the resulting reduced throughput, DPT possesses a number of additional technical challenges. This relates to, e.g., exposure tool capability, the actual applied process in the wafer fab but also to mask performance and metrology. In this paper we will address the mask performance. To characterize the mask performance in an actual DPT process, conventional parameters need to be re-evaluated. Furthermore new parameters might be more suitable to describe mask capability. This refers to, e.g., reticle to reticle overlay but also to CD differences between masks of a DPT reticle set. A DPT target of reticle to reticle induced overlay of 6nm, 3σ at mask level was proposed recently for the 32nm node. The results show that this target can be met. Besides that, local CD variations and local displacement become critical. Finally, the actual mask metrology for determination of these parameters might not be trivial and needs to be set up and characterized properly. In this paper we report on the performance of two-reticle sets based on a design developed to study the impact of mask global and local placement errors on a DPT dual line process. In a first step we focus on reticle to reticle overlay. The overlay between two masks evaluated for different wafer overlay targets is compared with measurements on actual resolution structures. In a second step, mask to mask CD variations are addressed. Off-target CD differences as well as variations of CD signatures on both reticles of a set are investigated. Finally, local CD variations and local displacements are examined. To this aim, local variations of adjacent structures on the reticle are characterized. The contribution of local effects to the overall CD and registration budget is estimated.
Photomask and Next-Generation Lithography Mask Technology XII | 2005
Ingo Höllein; Silvio Teuber; Karsten Bubke
In the process of discussion of possible mask-types for the 5x nm node (half-pitch) and below, the alternating phase-shifting mask (AltPSM) is a potential candidate to be screened. The current scenario suggests using 193 nm immersion lithography with NA values of up to 1.2 and above. New optical effects from oblique incident angles, mask-induced polarization of the transmitted light and birefringence from the substrate need to be taken into account when the optical performance of a mask is evaluated. This paper addresses mask induced polarization effects from dense lines-and-space structures on a real mask. Measurements of the polarization dependent diffraction efficiencies have been performed on AltPSM masks. Experimental results show good agreement with simulations. A comparison with Binary Masks is made.
SPIE Photomask Technology | 2011
Guoxiang Ning; Christian Holfeld; Anna Tchikoulaeva; Martin Sczyrba; Angeline Ho; Karsten Bubke; Soon Yoeng Tan; Andre Holfeld; Byoung Il Choi
Reticles are contaminated during its lifetime and can catch particles as large as several tens of microns. Such defects on the backside of photomasks are usually considered as uncritical and thus do not receive much attention. Backside defects are out of focus by the mask thickness during wafer exposure and cannot be directly imaged on wafer. However, the shadow of the defects changes the local illumination of the mask patterns and may result in spatial variation of critical dimension (CD) on wafer depending on numerical aperture (NA) and pupil shape. There have been only a few investigations on printability of backside defects in the past, and no data are available for the most advanced technology nodes. Reticles are regularly inspected for particles on the glass side in the wafer fab but limits for acceptable defect size are based on estimations. Detection of non-acceptable particles causes exposed wafers being either delayed or reworked with impact on throughput and cost performance. It is therefore important to gain better understanding of critical sizes of backside defects and of appropriate detection capabilities. We have designed and manufactured a test mask with repeating patterns of 20nm, 28nm and 40nm technology node ranging from contact and line/space critical layers to non-critical implant layers. Programmed chrome defects of varying size are placed on the backside of the mask opposite to the repeating front side patterns in order to measure the spatial variation of transmission and wafer CD caused by the backside defects. The test mask was printed on a bare Silicon wafer and the size of printed features was measured by spatial sampling. Wafer CD variation for different backside defect sizes are demonstrated and compared for 28nm node first metal layer. Although the opaque chrome defects on the backside do not behave like real particles they aim on deriving a print threshold for backside particles based on actual wafer data. After such critical size of backside defects is obtained the reticle was also utilized to investigate the detection ability of backside defects by defect inspection of the reticle.
Proceedings of SPIE | 2011
Karsten Bubke; Matthias Ruhm; Rafael Aldana; Martin Niehoff; Xu Xie; Justin Ghan; Paul van Adrichem; Holger Bald; Paul Frank Luehrmann; Stefan Roling; Rolf Seltmann
Differences in imaging behaviour between lithographic systems of the same wavelength result in variations of optical proximity effects (OPE). A way to compensate these irregularities is through scanner tuning. In scanner tuning, scanner specific adjustments are obtained through the determination of scanner knob sensitivities of relevant structures followed by an optimization to adjust the structure CD values to be close to the desired values. Traditionally, scanner tuning methods have relied heavily on wafer-based CD metrology to characterize both the initial mismatch as well as the sensitivities of CDs to the scanner tuning knobs. These methods have proven very successful in reducing the mismatch, but their deployment in manufacturing has been hampered by the metrology effort. In this paper, we explore the possibility of using ASMLs LithoTuner PatternMatcher FullChip (PMFC) computational lithography tool to reduce the dependence on wafer CD metrology. One tuning application using flexray illumination instead of traditional scanner knobs is presented in this work; in this application individual critical features in wafer printing are improved without affecting other sites. The limited impact of tuning on other structures is verified through full-chip LMC runs. Potential uses of this technology are for process transfers from one fab to another where the OPC signature in the receiving fab is similar but not identical to the signature of the originating fab. The tuning application is investigated with respect to its applicability in a production environment, including further metrology effort reduction by using scatterometry tools.