Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mingoo Seok is active.

Publication


Featured researches published by Mingoo Seok.


international solid-state circuits conference | 2011

A cubic-millimeter energy-autonomous wireless intraocular pressure monitor

Gregory K. Chen; Hassan Ghaed; Razi-ul Haque; Michael Wieckowski; Yejoong Kim; Gyouho Kim; David Fick; Daeyeon Kim; Mingoo Seok; Kensall D. Wise; David T. Blaauw; Dennis Sylvester

Circuit blocks for a 1.5 mm3 microsystem enable continuous monitoring of intraocular pressure. Due to power and form-factor limitations, circuit blocks are designed at nanowatt power levels not completely explored before. The system includes a 75% efficient 90 nW DC-DC converter which is the most efficient reported sub- μW converter in literature. It also includes a novel 4.7 nJ/bit FSK radio that achieves 10 cm of transmission range at 10 -6 BER which is also the lowest number reported for short-range through-tissue wireless links for biomedical implants. A MEMS capacitive sensor and ΣΔ capacitance-to-digital converter measure IOP with 0.5 mmHg accuracy. A microcontroller processes and saves IOP data and stores it in a 2.4 fW/bitcell SRAM. The microsystem harvests a maximum power of 80 nW in sunlight with a light irradiance of 100 mW/cm2 AM 1.5 from an integrated 0.07 mm2 solar cell to recharge a 1 mm2 1 μAh thin-film battery and power the load circuits. The design achieves zero-net-energy operation with 1.5 hours of sunlight or 10 hours of bright indoor lighting daily.


international solid-state circuits conference | 2010

Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells

Gregory K. Chen; Matthew Fojtik; Daeyeon Kim; David Fick; Junsun Park; Mingoo Seok; Mao-Ter Chen; Zhiyoong Foo; Dennis Sylvester; David T. Blaauw

Sensors with long lifetimes create new applications in medical, infrastructure and environmental monitoring. Due to volume constraints, sensor systems are often capable of storing only small amounts of energy. Several systems have increased lifetime through VDD scaling [1][2][3]. This necessitates voltage conversion from higher-voltage storage elements, such as batteries and fuel cells. Power is reduced by introducing ultra-low-power sleep modes during idle periods. Sensor lifetime can be further extended by harvesting from solar, vibrational and thermal energy. Since the availability of harvested energy is sporadic, it must be detected and stored. Harvesting sources often do not provide suitable voltage levels, so DC-DC up-conversion is required.


symposium on vlsi circuits | 2008

The Phoenix Processor: A 30pW platform for sensor applications

Mingoo Seok; Scott Hanson; Yu Shiang Lin; Zhiyoong Foo; Daeyeon Kim; Yoonmyung Lee; Nurrachman Liu; Dennis Sylvester; David T. Blaauw

An integrated platform for sensor applications, called the Phoenix Processor, is implemented in a carefully-selected 0.18 mum process with an area of 915 times 915 mum2, making on-die battery integration feasible. Phoenix uses a comprehensive sleep strategy with a unique power gating approach, an event-driven CPU with compact ISA, data memory compression, a custom low leakage memory cell, and adaptive leakage management in data memory. Measurements show that Phoenix consumes 29.6 pW in sleep mode and 2.8 pJ/cycle in active mode.


IEEE Journal of Solid-state Circuits | 2009

A Low-Voltage Processor for Sensing Applications With Picowatt Standby Mode

Scott Hanson; Mingoo Seok; Yu Shiang Lin; Zhi Yoong Foo; Daeyeon Kim; Yoonmyung Lee; Nurrachman Liu; Dennis Sylvester; David T. Blaauw

Recent progress in ultra-low-power circuit design is creating new opportunities for cubic millimeter computing. Robust low-voltage operation has reduced active mode power consumption considerably, but standby mode power consumption has received relatively little attention from low-voltage designers. In this work, we describe a low-voltage processor called the Phoenix Processor that has been designed at the device, circuit, and architecture levels to minimize standby power. A test chip has been implemented in a carefully selected 0.18 mum process in an area of only 915 times 915 mum2. Measurements show that Phoenix consumes 35.4 pW in standby mode and 226 nW in active mode.


IEEE Journal of Solid-state Circuits | 2008

Exploring Variability and Performance in a Sub-200-mV Processor

Scott Hanson; Bo Zhai; Mingoo Seok; Brian Cline; Kevin Zhou; Meghna Singhal; Michael Minuth; Javin Olson; Leyla Nazhandali; Todd M. Austin; Dennis Sylvester; David T. Blaauw

In this study, we explore the design of a subthreshold processor for use in ultra-low-energy sensor systems. We describe an 8-bit subthreshold processor that has been designed with energy efficiency as the primary constraint. The processor, which is functional below Vdd=200 mV, consumes only 3.5 pJ/inst at Vdd=350 mV and, under a reverse body bias, draws only 11 nW at Vdd=160 mV. Process and temperature variations in subthreshold circuits can cause dramatic fluctuations in performance and energy consumption and can lead to robustness problems. We investigate the use of body biasing to adapt to process and temperature variations. Test-chip measurements show that body biasing is particularly effective in subthreshold circuits and can eliminate performance variations with minimal energy penalties. Reduced performance is also problematic at low voltages, so we investigate global and local techniques for improving performance while maintaining energy efficiency.


IEEE Journal of Solid-state Circuits | 2012

A Portable 2-Transistor Picowatt Temperature-Compensated Voltage Reference Operating at 0.5 V

Mingoo Seok; Gyouho Kim; David T. Blaauw; Dennis Sylvester

Sensing systems such as biomedical implants, infrastructure monitoring systems, and military surveillance units are constrained to consume only picowatts to nanowatts in standby and active mode, respectively. This tight power budget places ultra-low power demands on all building blocks in the systems. This work proposes a voltage reference for use in such ultra-low power systems, referred to as the 2T voltage reference, which has been demonstrated in silicon across three CMOS technologies. Prototype chips in 0.13 μm show a temperature coefficient of 16.9 ppm/°C (best) and line sensitivity of 0.033%/V, while consuming 2.22 pW in 1350 μm2. The lowest functional Vdd 0.5 V. The proposed design improves energy efficiency by 2 to 3 orders of magnitude while exhibiting better line sensitivity and temperature coefficient in less area, compared to other nanowatt voltage references. For process spread analysis, 49 dies are measured across two runs, showing the design exhibits comparable spreads in TC and output voltage to existing voltage references in the literature. Digital trimming is demonstrated, and assisted one temperature point digital trimming, guided by initial samples with two temperature point trimming, enables TC <; 50 ppm/°C and ±0.35% output precision across all 25 dies. Ease of technology portability is demonstrated with silicon measurement results in 65 nm, 0.13 μm, and 0.18 μm CMOS technologies.


IEEE Transactions on Electron Devices | 2008

Nanometer Device Scaling in Subthreshold Logic and SRAM

Scott Hanson; Mingoo Seok; Dennis Sylvester; David T. Blaauw

Subthreshold circuit design is promising for future ultralow-energy sensor applications as well as highly parallel high-performance processing. Device scaling has the potential to increase speed in addition to decreasing both energy and cost in subthreshold circuits. However, no study has yet considered whether device scaling to 45 nm and beyond will be beneficial for subthreshold logic. We investigate the implications of device scaling on subthreshold logic and SRAM and And that the slow scaling of gate-oxide thickness leads to a 60% reduction in Ion/Ioff between the 90- and 32-nm device generations. We highlight the effects of this device degradation on noise margins, delay, and energy. We subsequently propose an alternative scaling strategy and demonstrate significant improvements in noise margins, delay, and energy in sub-Vth circuits. Using both optimized and unoptimized subthreshold device models, we explore the robustness of scaled subthreshold SRAM. We use a simple variability model and find that even small memories become unstable at advanced technology nodes. However, the simple device optimizations suggested in this paper can be used to improve nominal read noise margins by 64% at the 32-nm node.


IEEE Transactions on Circuits and Systems | 2013

Circuits for a Cubic-Millimeter Energy-Autonomous Wireless Intraocular Pressure Monitor

Mohammad Hassan Ghaed; Gregory K. Chen; Razi-ul Haque; Michael Wieckowski; Yejoong Kim; Gyouho Kim; Yoonmyung Lee; Inhee Lee; David Fick; Daeyeon Kim; Mingoo Seok; Kensall D. Wise; David T. Blaauw; Dennis Sylvester

Glaucoma is the leading cause of blindness, affecting 67 million people worldwide. The disease damages the optic nerve due to elevated intraocular pressure (IOP) and can cause complete vision loss if untreated. IOP is commonly assessed using a single tonometric measurement, which provides a limited view since IOP fluctuates with circadian rhythms and physical activity. Continuous measurement can be achieved with an implanted monitor to improve treatment regiments, assess patient compliance to medication schedules, and prevent unnecessary vision loss. The most suitable implantation location is the anterior chamber of the eye, which is surgically accessible and out of the field of vision. The desired IOP monitor (IOPM) volume is limited to 1.5mm3 (0.5x1.5x2mm3) by the size of a self-healing incision, curvature of the cornea, and dilation of the pupil.


IEEE Journal of Solid-state Circuits | 2013

A Millimeter-Scale Energy-Autonomous Sensor System With Stacked Battery and Solar Cells

Matthew Fojtik; Daeyeon Kim; Gregory K. Chen; Yu-Shiang Lin; David Fick; Junsun Park; Mingoo Seok; Mao-Ter Chen; Zhiyoong Foo; David T. Blaauw; Dennis Sylvester

An 8.75 mm3 microsystem targeting temperature sensing achieves zero-net-energy operation using energy harvesting and ultra-low-power circuit techniques. A 200 nW sensor measures temperature with -1.6 °C/+3 °C accuracy at a rate of 10 samples/sec. A 28 pJ/cycle, 0.4 V, 72 kHz ARM Cortex-M3 microcontroller processes temperature data using a 3.3 fW leakage per bit SRAM. Two 1 mm2 solar cells and a thin-film Li battery power the microsystem through an integrated power management unit. The complete microsystem consumes 7.7 μ W when active and enters a 550 pW data-retentive standby mode between temperature measurements. The microsystem can process temperature data hourly for 5 years using only the initial energy stored in the battery. This lifetime is extended indefinitely using energy harvesting to recharge the battery, enabling energy-autonomous operation.


symposium on vlsi circuits | 2007

Performance and Variability Optimization Strategies in a Sub-200mV, 3.5pJ/inst, 11nW Subthreshold Processor

Scott Hanson; Bo Zhai; Mingoo Seok; Brian Cline; Kevin Zhou; Meghna Singhal; Michael Minuth; Javin Olson; Leyla Nazhandali; Todd M. Austin; Dennis Sylvester; David T. Blaauw

A robust, energy efficient subthreshold (sub-V<sub>th</sub>) processor has been designed and tested in a 0.13 mum technology. The processor consumes 11 nW at V<sub>dd</sub> = 160 mV and 3.5 pJ/inst at V<sub>dd</sub> = 350 mV. Variability and performance optimization techniques are investigated for sub-V<sub>th</sub> circuits.

Collaboration


Dive into the Mingoo Seok's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Daeyeon Kim

University of Michigan

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge