Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mitsuhiro Hata is active.

Publication


Featured researches published by Mitsuhiro Hata.


Japanese Journal of Applied Physics | 2004

Most Efficient Alternative Manner of Patterning sub-80 nm Contact Holes and Trenches with 193 nm Lithography

Jung Hwan Hah; Jin-Young Yoon; Mitsuhiro Hata; Sang-Wook Kim; Hyun Woo Kim; Sang-Gyoun Woo; Han-Ku Cho; Woo-Sung Han; Joo-Tae Moon; Byoung-Il Ryu

The patterning of sub-80 nm contact holes and trenches by ArF lithography is very challenging. To solve this problem, several technologies have been proposed, including thermal flow, resolution enhancement of lithography assisted by chemical shrink (RELACS), and shrink assist film for enhanced resolution (SAFIER). In this paper, we compare these processes in order to determine the advantages and disadvantages, and to gain an understanding on their mechanism on the basis of the performances of each process. Thermal flow shows a strong advantage in terms of simplicity, but it shows several disadvantages in terms of layout dependence, mask error enhancement factor (MEEF), and process window. RELACS is proven to be the most reliable, since there is a small shrink amount dependence on layout and temperature, but the limitation of shrink amount is an obstacle. Finally, SAFIER shows strong advantages in low MEEF, wide process window, and enhanced uniformity, with a weakness of the deformation in asymmetric pattern. It is considered that such performances are strongly related to the mechanism of each process, and therefore, the process should be used according to its own performances and mechanism. On the basis of the properties and relationship with the mechanism, the appropriate applications are recommended for each process.


Advances in Resist Technology and Processing XXI | 2004

Strategy for sub-80-nm contact hole patterning considering device fabrication

Jin-Young Yoon; Mitsuhiro Hata; Jung-Hwan Hah; Hyun-woo Kim; Sang-Gyun Woo; Han-Ku Cho; Woo-Sung Han

As the required contact holes dimension (CD) reaches to the physical limit of the conventional lithography, the image quality formed in a photoresist film is degraded seriously. To overcome this obstacle, several process-based techniques for ArF lithography have been suggested and some of them are reported to show excellent feasibilities. In this article, three primary techniques for sub-80nm contact holes patterning are examined. They are ArF thermal flow, ArF SAFIER (Shrink Assist Film for Enhanced Resolution) and ArF RELACS (Resolution Enhancement Lithography Assisted by Chemical Shrink). These techniques are originated from different reaction mechanisms and result in distinguished shrink behaviors. Contact holes CDs of different patterns diverge one another depending on the adapted shrink process even though the initial CDs are identical. This is so called a bulk effect and is compensated by the optical proximity correction (OPC) procedure. The relationship of pattern CDs between mask and wafer is used to extract the correction factor. For the shrink process, it is divided to an optical factor and a process factor, that is, the shrink behavior is analyzed in terms of mask error factor (MEF) and process error factor (PEF). The PEF is calculated from the proportionality of post-shrink CD to initial CD of photoresist patterns. Using the PEF, it is possible to characterize each shrink process in the view of CD controllability. Consequently, we classify the shrink processes for the production of 65nm node devices considering the shrink properties and the cost of ownership.


Journal of Vacuum Science & Technology B | 2006

Converging lithography by combination of electrostatic layer-by-layer self-assembly and 193nm photolithography: Top-down meets bottom-up

Jung Hwan Hah; Subramanya Mayya; Mitsuhiro Hata; Yun-Kyeong Jang; Hyun Woo Kim; Man-Hyoung Ryoo; Sang-Gyun Woo; Han-Ku Cho; Joo-Tae Moon

Photolithography is a part of the top-down approach that forms the basis of various processes in the semiconductor industry, which has followed Moore’s law for new generations of devices. However, as feature sizes are scaled to the nanometer regime, the bottom-up approach is being touted as a means to solve problems arising due to size reduction. Here the authors report a result based on the convergence of 193nm lithography and electrostatic layer-by-layer assembly, which overcomes the resolution limit of photolithography and also assists self-assembly to form complex patterns. Their result shows that hurdles associated with top-down approaches to further device scaling can be overcome by introducing bottom-up approaches.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Top barrier coating materials for immersion lithography and beyond

Mitsuhiro Hata; Jin-Young Yoon; Jung-Hwan Hah; Man-Hyoung Ryoo; Sang-Jun Choi; Han-Ku Cho

Immersion barrier coats were formulated and evaluated on ArF photoresist in view of interaction between photoresist and top coats. Acrylate polymers having an acid-labile protecting group, an acid group, and a polar group were synthesized to realize water barrier property and developability. To compensate the insufficient developability, thermal acid generator was included as an additive that can enhance the developability of the acrylate top coats by post exposure bake. In the course of the material evaluation, it became evident that carboxyl acid group in the top coat base polymers has great influence on photoresist profiles, and this result was fedback to a new acid group, deuterated carboxyl acid, that is suitable for both ArF wavelength and EUV wavelength. When top coat materials having deuterated carboxyl acid were applied on ArF photoresist, fine pattern profiles were confirmed. Further, an extension of barrier coating concept to EUV lithography as outgas barrier coats was examined on an EUV photoresists test sample. These outgas barrier coat materials do not include fluorine atoms, therefore, achieves good transparency at EUV wavelength.


Advances in resist technology and processing. Conference | 2005

Novel chemical shrinkage material for small contact hole and small space patterning

Mitsuhiro Hata; Jung-Hwan Hah; Hyun-woo Kim; Man-Hyoung Ryoo; Sang-Gyun Woo; Han-Ku Cho

It is becoming difficult for the lithography progress to keep pace with the acceleration of design rule shrinkage and high integration of memory devices. In order to retain the acceleration, low k1 processes beyond the limitation of wavelength are required. Various resolution enhancement techniques have been suggested for this purpose. Especially, chemical shrinkage process utilizing an additional chemical treatment upon patterned photoresist to make patterns finer has been turned out to be effective. The current chemical shrinkage materials are, however, suffering from small attachment amounts or pattern deformation. In this paper, a novel chemical shrinkage material causing large attachments without pattern deformation is suggested. The material is an aqueous solution of two kinds of polymers and its shrinkage mechanism is based on inter-polymer complex formation and gelation principle. Compositions, shrinkage properties, and application studies to contact hole patterns are presented.


Advances in resist technology and processing. Conference | 2005

In-line chemical shrink process for 70 nm contact hole patterns by the room-temperature electrostatic self-assembly

Jung Hwan Hah; Subramanya Mayya; Mitsuhiro Hata; Hyun-woo Kim; Man-Hyoung Ryoo; Sang-Gyun Woo; Han-Ku Cho; Joo-Tae Moon; Byung-Il Ryu

Electrostatic self-assembly (ESA) is combined with optical lithography to develop a novel process to form 70 nm space patterns to overcome the resolution limit of ArF lithography with numerical aperture (NA) of 0.75. It is proven that patterned photo resist are useful template with specific topography to undergo the subsequent ESA. Weak polyelectrolytes are shown to control the attachment amount by adjusting pH. Puddle-assembly is applied instead of spin- or dip-assembly considering pattern profile and practicality to be used in the real FAB environment. With optimized composition and assembly method, it is successful to form 70 nm spaces patterns by ESA-induced chemical attachment above 45 nm, combined with ArF lithography of 0.75 NA. Since it works at room temperature without extra process unit after exposure and development, it overcomes the disadvantages of the conventional chemical shrink processes such as thickness loss, dependence on pattern and photo resist, and throughput lowering. In addition, in-wafer uniformities are comparable to that of forming 120 nm spaces patterns with only ArF lithography, which proves that the combination of ESA and optical lithography can be a potentially and practically alternative way of forming uniform 70 nm spaces patterns over 200 nm substrates. It also means that now it is time for top-down and bottom-up approaches to meet together to access nano world.


Journal of Vacuum Science & Technology B | 2006

Chemical shrinkage material: Nanoscale patterning through interpolymer complex

Mitsuhiro Hata; Jung-Hwan Hah; Hyun Woo Kim; Man-Hyoung Ryoo; Sang-Jun Choi; Sang-Gyun Woo; Han-Ku Cho

A chemical shrinkage material causing large attachments without pattern deformation is suggested. This material is an aqueous solution of two kinds of polymers, and its shrinkage mechanism is based on the interpolymer complex formation and gelation principle. Attachment greater than 25nm was confirmed on ArF photoresist pattern at 145°C, and its shrinkage properties were found to be proportional to initial critical dimension and process temperature, and pitch dependency was not observed. An additional study on temperature responsive phase transition behavior of the material was also undertaken.


Archive | 2006

Coating Compositions for Use in Forming Patterns and Methods of Forming Patterns

Sang-jung Choi; Mitsuhiro Hata; Man-Hyoung Ryoo; Jung-Hwan Hah


Archive | 2004

Mask pattern for semiconductor device fabrication, method of forming the same, method for preparing coating, composition for fine pattern formation, and method of fabricating semiconductor device

Mitsuhiro Hata; Jung-Hwan Hah; Hyun-woo Kim; Sang-Gyun Woo


Archive | 2010

Resist treatment method

Mitsuhiro Hata; Yoshiyuki Takata; Satoshi Yamaguchi; Ichiki Takemoto; Takayuki Miyagawa; Yusuke Fuji

Collaboration


Dive into the Mitsuhiro Hata's collaboration.

Researchain Logo
Decentralizing Knowledge