Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ndubuisi G. Orji is active.

Publication


Featured researches published by Ndubuisi G. Orji.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Determination of optimal parameters for CD-SEM measurement of line-edge roughness

Benjamin Bunday; Michael Bishop; Donald W. McCormack; John S. Villarrubia; Andras Vladar; Ronald G. Dixson; Theodore V. Vorburger; Ndubuisi G. Orji; John A. Allgair

The measurement of line-edge roughness (LER) has recently become a topic of concern in the litho-metrology community and the semiconductor industry as a whole. The Advanced Metrology Advisory Group (AMAG), a council composed of the chief metrologists from the International SEMATECH (ISMT) consortium’s Member Companies and from the National Institute of Standards and Technology (NIST), has a project to investigate LER metrics and to direct the critical dimension scanning electron microscope (CD-SEM) supplier community towards a semiconductor industry-backed, standardized solution for implementation. The 2003 International Technology Roadmap for Semiconductors (ITRS) has included a new definition for roughness. The ITRS envisions root mean square measurements of edge and width roughness. There are other possible metrics, some of which are surveyed here. The ITRS envisions the root mean square measurements restricted to roughness wavelengths falling within a specified process-relevant range and with measurement repeatability better than a specified tolerance. This study addresses the measurement choices required to meet those specifications. An expression for the length of line that must be measured and the spacing of measurement positions along that length is derived. Noise in the image is shown to produce roughness measurement errors that have both random and nonrandom (i.e., bias) components. Measurements are reported on both UV resist and polycrystalline silicon in special test patterns with roughness typical for those materials. These measurements indicate that the sensitivity of a roughness measurement to noise depends importantly both on the choice of edge detection algorithm and the quality of the focus. Measurements are less sensitive to noise when a model-based or sigmoidal fit algorithm is used and when the images are in good focus. Using the measured roughness characteristics for UV resist lines and applying the ITRS requirements for the 90 nm technology node, the derived expression for sampling length and sampling interval implies that a length at least 8 times the node (i.e., 720 nm) must be measured at intervals of 7.5 nm or less.


Measurement Science and Technology | 2011

Scanning probe microscope dimensional metrology at NIST

John A. Kramar; Ronald G. Dixson; Ndubuisi G. Orji

Scanning probe microscope (SPM) dimensional metrology efforts at the US National Institute of Standards and Technology (NIST) are reviewed in this paper. The main SPM instruments for realizing the International System of Units (SI) are the Molecular Measuring Machine, the calibrated atomic force microscope and the critical dimension atomic force microscope. These are optimized for long-distance measurements, three-dimensional measurements over conventional SPM distances and critical dimension or linewidth measurements, respectively. 10 mm distances have been measured with the relative standard uncertainty, uc, of 1.5 × 10−5; step heights at the 100 nm scale have been measured with the relative uc of 2.5 × 10−3 and sub-micrometer linewidths have been measured with uc = 0.8 nm.


Measurement Science and Technology | 2007

Higher order tip effects in traceable CD-AFM-based linewidth measurements

Ndubuisi G. Orji; Ronald G. Dixson

In critical dimension atomic force microscopy (CD-AFM), a major source of uncertainty is due to the tip. Measurements made using a CD-AFM tip show an apparent broadening of the feature width. Usually, the linewidth can be approximately corrected if the tip width is known. In addition to tip width broadening, tip-shape-dependent effects—also known as higher order tip effects—are a contributor to the uncertainty of CD-AFM linewidth measurements. These are especially important for undercut features and samples with relatively large sidewall roughness. In this paper, we examine the different types of CD-AFM higher order tip effects within the context of a reference measurement system and present a procedure for estimating some of these contributions with an uncertainty of less than 1.5 nm.


Journal of Micro-nanolithography Mems and Moems | 2007

Progress on implementation of a reference measurement system based on a critical-dimension atomic force microscope

Ndubuisi G. Orji; Ronald G. Dixson; Angela Martinez; Benjamin Bunday; John Allgair; Theodore V. Vorburger

The National Institute of Standards and Technology (NIST) and SEMATECH are working to address traceability issues in semiconductor dimensional metrology. In semiconductor manufacturing, many of the measurements made in the fab are not traceable to the SI unit of length. This is because a greater emphasis is often placed on precision and tool matching than on accuracy. Furthermore, the fast pace of development in the industry makes it difficult to introduce suitable traceable standard artifacts in a timely manner. To address this issue, NIST and SEMATECH implemented a critical-dimension atomic-force-microscope-based reference measurement system (RMS). The system is calibrated for height, pitch, and width, and has traceability to the SI definition of length in all three axes. Because the RMS is expected to function at a higher level of performance than inline tools, the level of characterization and handling of uncertain sources is on a level usually seen in instruments at national measurement institutes. In this work, we discuss recent progress in reducing the uncertainty of the instrument as well as details of a newly implemented performance monitoring system. We also present an example of how the RMS concept can be used in a semiconductor manufacturing environment.


Metrology, inspection, and process control for microlithography. Conference | 2005

CD-AFM reference metrology at NIST and SEMATECH

Ronald G. Dixson; Jing Fu; Ndubuisi G. Orji; William F. Guthrie; Richard A. Allen; Michael W. Cresswell

The National Institute of Standards and Technology (NIST) and SEMATECH have been working together to improve the traceability of critical dimension atomic force microscope (CD-AFM) dimensional metrology in semiconductor manufacturing. A major component of this collaboration has been the implementation of a Reference Measurement System (RMS) at SEMATECH using a current generation CD-AFM. An earlier tool, originally used at SEMATECH, has now been installed at NIST. Uncertainty budgets were developed for pitch, height, and CD measurements using both tools. At present, the standard uncertainties are approximately 0.2 % for pitch measurements and 0.4% for step height measurements. Prior to the current work, CD AFM linewidth measurements were limited to a standard uncertainty of about 5 nm. However, this limit can now be significantly reduced. This reduction results from the completion of the NIST/SEMATECH collaboration on the development of single crystal critical dimension reference materials (SCDDRM). A new generation of these reference materials was released to SEMATECH Member Companies during late 2004. The SEMATECH RMS was used to measure the linewidths of selected features on the distributed specimens. To reduce the uncertainty in tip width calibration, a separate transfer experiment was performed in which samples were measured by CD-AFM and then sent for high resolution transmission electron microscopy (HRTEM). In this manner, CD-AFM could be used to transfer the HRTEM width information to the distributed samples. Consequently, we are now able to reduce the limit on the standard uncertainty (k = 1) of CD-AFM width measurements to 1 nm.


Measurement Science and Technology | 2005

Line edge roughness metrology using atomic force microscopes

Ndubuisi G. Orji; Theodore V. Vorburger; Joseph Fu; Ronald G. Dixson; Cattien V. Nguyen; Jayaraman Raja

Line edge roughness (LER) measurements using two types of atomic force microscopes and three types of tips are compared. Measurements were made on specially prepared samples with inscribed edge roughness of different amplitudes and wavelengths. The spatial wavelengths and amplitudes each instrument was able to measure are compared. Techniques on checking the noise level of LER measuring instruments are highlighted.


Metrology, inspection, and process control for microlithography. Conference | 2006

Traceable atomic force microscope dimensional metrology at NIST

Ronald G. Dixson; Ndubuisi G. Orji; Joseph Fu; Michael W. Cresswell; Richard A. Allen; William F. Guthrie

The National Institute of Standards and Technology (NIST) has a multifaceted program in atomic force microscope (AFM) dimensional metrology. There are two major instruments being used for traceable AFM measurements at NIST. The first is a custom in-house metrology AFM, called the calibrated AFM (C-AFM), and the second instrument is a commercial critical dimension AFM (CD-AFM). The C-AFM has displacement metrology for all three axes traceable to the 633 nm wavelength of the Iodine-stabilized He-Ne laser. In the current generation of this system, the relative standard uncertainty of pitch and step height measurements is approximately 1.0 x 10-3 for pitches at the micrometer scale and step heights at the 100 nm scale, as supported by several international comparisons. We expect to surpass this performance level soon. Since the CD-AFM has the capability of measuring vertical sidewalls, it complements the C-AFM. Although it does not have intrinsic traceability, it can be calibrated using standards measured on other instruments - such as the C-AFM, and we have developed uncertainty budgets for pitch, height, and linewidth measurements using this instrument. We use the CD-AFM primarily for linewidth measurements of near-vertical structures. At present, the relative standard uncertainties are approximately 0.2% for pitch measurements and 0.4% for step height measurements. As a result of the NIST single crystal critical dimension reference material (SCCDRM) project, it is possible to calibrate CD-AFM tip width with a 1 nm standard uncertainty. We are now using the CD-AFM to support the next generation of the SCCDRM project. In prototypes, we have observed features with widths as low as 20 nm and having uniformity at the 1 nm level.


Journal of Micro-nanolithography Mems and Moems | 2012

Traceable calibration of a critical dimension atomic force microscope

Ronald G. Dixson; Ndubuisi G. Orji; Craig D. McGray; John E. Bonevich; Jon C. Geist

The National Institute of Standards and Technology (NIST) has a multifaceted program in atomic force microscope (AFM) dimensional metrology. One component of this program, and the focus of this paper, is the use of critical dimension atomic force microscopy (CD-AFM). CD-AFM is a commercially available AFM technology that uses flared tips and two-dimensional surface sensing to scan the sidewalls of near- vertical or even reentrant features. Features of this sort are commonly encountered in semiconductor manufacturing and other nanotechnology industries. NIST has experience in the calibration and characterization of CD-AFM instruments and in the development of uncertainty budgets for typical measurements in semiconductor manufacturing metrology. A third generation CD-AFM was recently installed at NIST. The current per- formance of this instrument for pitch and height measurements generally supports our relative expanded uncertainty (k ¼ 2) goals in the range of 2.0 × 10 −3 and lower. Additionally, a new generation of the NIST single crystal critical dimension reference material (SCCDRM) project is pushing toward feature widths below 10 nm, with the prospect of CD-AFM tip width calibration having expanded uncertainty (k ¼ 2) below 1 nm.


Journal of Micro-nanolithography Mems and Moems | 2011

Multilaboratory comparison of traceable atomic force microscope measurements of a 70-nm grating pitch standard

Ronald G. Dixson; Donald A. Chernoff; Shihua Wang; Theodore V. Vorburger; Siew-Leng Tan; Ndubuisi G. Orji; Joseph Fu

The National Institute of Standards and Technology (NIST), Advanced Surface Microscopy (ASM), and the National Metrology Centre (NMC) of the Agency for Science, Technology, and Research (A*STAR) in Singapore have completed a three-way interlaboratory comparison of traceable pitch measurements using atomic force microscopy (AFM). The specimen being used for this comparison is provided by ASM and consists of SiO2 lines having a 70-nm pitch patterned on a silicon substrate. For this comparison, NIST used its calibrated atomic force microscope (C-AFM), an AFM with incorporated displacement interferometry, to participate in this comparison. ASM used a commercially available AFM with an open-loop scanner, calibrated with a 144-nm pitch transfer standard. NMC/A*STAR used a large scanning range metrological atomic force microscope with He-Ne laser displacement interferometry incorporated. The three participants have independently established traceability to the SI (International System of Units) meter. The results obtained by the three organizations are in agreement within their expanded uncertainties and at the level of a few parts in 104.


Proceedings of SPIE | 2007

Comparison and uncertainties of standards for critical dimension atomic force microscope tip width calibration

Ronald G. Dixson; Ndubuisi G. Orji

Since the advent of critical-dimension atomic force microscopes (CD-AFMs) in the 90s, these tools have enjoyed growing acceptance in semiconductor manufacturing both for process development and to support in-line critical dimension (CD) metrology. The most common application of CD-AFMs has been to support critical-dimension scanning electron microscope (CD-SEM) and scatterometer metrology as a reference for tool matching or as a nondestructive alternative to transmission electron microscopy (TEM) and scanning electron microscopy (SEM) cross sections. For many years, CD-AFM users typically developed in-house reference standards for tip width calibration - often based on SEM or TEM cross sections. But the uncertainty of such standards was often large or unknown. Tip characterizer samples - which used a sharp ridge to calibrate the tip width - are commercially available. However, scanning such samples can result in tip damage, and the uncertainty of tip calibrations based on this method is at least 5 nm. In 2004, NIST, SEMATECH, and VLSI Standards collaborated on the development and release of single crystal critical dimension reference materials (SCCDRMs) to SEMATECH member companies. These specimens, which are fabricated using a lattice-plane-selective etch on (110) silicon, exhibit near vertical sidewalls and high uniformity and can be used to calibrate CD-AFM tip width to approximately 1 nm standard uncertainty (k = 1). Also in 2004, commercial critical dimension standards (CCDS) were introduced. Using CD-AFM instruments at both NIST and SEMATECH, we have performed a comparison of nominal 45 nm and 70 nm CCDS specimens with the SCCDRM calibration. Our observations show that these two independently performed calibrations are in agreement.

Collaboration


Dive into the Ndubuisi G. Orji's collaboration.

Top Co-Authors

Avatar

Ronald G. Dixson

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Theodore V. Vorburger

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Joseph Fu

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Richard A. Allen

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Michael W. Cresswell

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

James E. Potzick

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Andras Vladar

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Jayaraman Raja

University of North Carolina at Charlotte

View shared research outputs
Researchain Logo
Decentralizing Knowledge