Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where John Allgair is active.

Publication


Featured researches published by John Allgair.


Proceedings of SPIE | 2007

Fundamental limits of optical critical dimension metrology: a simulation study

Richard M. Silver; Thomas A. Germer; Ravikiran Attota; Bryan M. Barnes; Benjamin Bunday; John Allgair; Egon Marx; Jay Jun

This paper is a comprehensive summary and analysis of a SEMATECH funded project to study the limits of optical critical dimension scatterometry (OCD). The project was focused on two primary elements: 1) the comparison, stability, and validity of industry models and 2) a comprehensive analysis of process stacks to evaluate the ultimate sensitivity and limits of OCD. Modeling methods are a requirement for the interpretation and quantitative analysis of scatterometry data. The four models evaluated show good agreement over a range of targets and geometries for zero order specular reflection as well as higher order diffraction. A number of process stacks and geometries representing semiconductor manufacturing nodes from the 45 nm node to the 18 nm node were simulated using several measurement modalities including angle-resolved scatterometry and spectrally-resolved scatterometry, measuring various combinations of intensity and polarization. It is apparent in the results that large differences are observed between those methods that rely upon unpolarized and single polarization measurements. Using the three parameter fits and assuming that the sensitivity of scatterometry must meet the criterion that the 3σ uncertainty in the bottom dimension must be less than 2% of the linewidth, specular scatterometry solutions exist for all but the isolated lines at 18 nm node. Scatterometry does not have sufficient sensitivity for isolated and semi-isolated lines at the 18 nm node unless the measurement uses wavelengths as short as 200 nm or 150 nm and scans over large angle ranges.


Journal of Micro-nanolithography Mems and Moems | 2007

Progress on implementation of a reference measurement system based on a critical-dimension atomic force microscope

Ndubuisi G. Orji; Ronald G. Dixson; Angela Martinez; Benjamin Bunday; John Allgair; Theodore V. Vorburger

The National Institute of Standards and Technology (NIST) and SEMATECH are working to address traceability issues in semiconductor dimensional metrology. In semiconductor manufacturing, many of the measurements made in the fab are not traceable to the SI unit of length. This is because a greater emphasis is often placed on precision and tool matching than on accuracy. Furthermore, the fast pace of development in the industry makes it difficult to introduce suitable traceable standard artifacts in a timely manner. To address this issue, NIST and SEMATECH implemented a critical-dimension atomic-force-microscope-based reference measurement system (RMS). The system is calibrated for height, pitch, and width, and has traceability to the SI definition of length in all three axes. Because the RMS is expected to function at a higher level of performance than inline tools, the level of characterization and handling of uncertain sources is on a level usually seen in instruments at national measurement institutes. In this work, we discuss recent progress in reducing the uncertainty of the instrument as well as details of a newly implemented performance monitoring system. We also present an example of how the RMS concept can be used in a semiconductor manufacturing environment.


IEEE Transactions on Semiconductor Manufacturing | 2007

Value-Added Metrology

Benjamin Bunday; John Allgair; Mark Caldwell; Eric P. Solecky; Charles N. Archie; Bryan J. Rice; Bhanwar Singh; Jason P. Cain; Iraj Emami

The conventional premise, long-touted among the semiconductor processing community, that metrology is a ldquonon-value-added necessary evil,rdquo is a misleading and dangerous assertion, which must be viewed as obsolete thinking. Many metrology applications are key enablers to traditionally labeled ldquovalue-addedrdquo processing steps in lithography and etch, such that they can be considered integral parts of the processes. Various key trends in modern, state-of-the-art processing such as optical proximity correction, design for manufacturability, and advanced process control are based, at their hearts, on the assumption of fine-tuned metrology, in terms of uncertainty and accuracy. These trends are vehicles where metrology thus has large opportunities to create value through the engineering of tight and targetable process distributions. Such distributions make possible predictability in speed-sorts and in other parameters, which results in a high-end product. Additionally, significant reliance has also been placed on defect metrology to predict, improve, and reduce yield variability. The necessary quality metrology is strongly influenced by not only the choice of equipment, but also the quality application of these tools in a production environment. The ultimate value added by metrology is a result of quality tools run by a quality metrology team using quality practices. This paper will explore the relationships among present and future trends and challenges in metrology, including equipment, key applications, and metrology deployment in the manufacturing flow. Of key importance are metrology personnel, with their expertise, practices, and metrics in achieving and maintaining the required level of metrology performance, including where precision, matching, and accuracy fit into these considerations. The value of metrology will be demonstrated to have shifted to being a ldquokey enabler of large revenues,rdquo debunking the out-of-date premise that metrology is ldquonon-value-added.rdquo


Proceedings of SPIE | 2009

Phenomenology of electron-beam induced photoresist shrinkage trends

Benjamin Bunday; Aaron Cordes; John Allgair; Vasiliki Tileli; Yohanan Avitan; Ram Peltinov; Maayan Bar-Zvi; Ofer Adan; Eric Cottrell; Sean Hand

For many years, lithographic resolution has been the main obstacle in keeping the pace of transistor densification to meet Moores Law. For the 45 nm node and beyond, new lithography techniques are being considered, including immersion ArF (iArF) lithography and extreme ultraviolet lithography (EUVL). As in the past, these techniques will use new types of photoresists with the capability to print 45 nm node (and beyond) feature widths and pitches. In a previous paper [1], we focused on ArF and iArF photoresist shrinkage. We evaluated the magnitude of shrinkage for both R&D and mature resists as a function of chemical formulation, lithographic sensitivity, scanning electron microscope (SEM) beam condition, and feature size. Shrinkage results were determined by the well accepted methodology described in ISMIs CD-SEM Unified Specification [2]. A model for resist shrinkage, while derived elsewhere [3], was presented, that can be used to curve-fit to the shrinkage data resulting from multiple repeated measurements of resist features. Parameters in the curve-fit allow for metrics quantifying total shrinkage, shrinkage rate, and initial critical dimension (CD) from before e-beam exposure. The ability to know this original CD is the most desirable result; in this work, the ability to use extrapolation to solve for a given original CD value was also experimentally validated by CD-atomic force microscope (AFM) reference metrology. Historically, many different conflicting shrinkage results have been obtained among the many works generated through the litho-metrology community. This work, backed up by an exhaustive dataset, will present an explanation that makes sense of these apparent discrepancies. Past models for resist shrinkage inherently assumed that the photoresist line is wider than the region of the photoresist to be shrunk [3], or, in other words, the e-beam never penetrates enough to reach all material in the interior of a feature; consequently, not all photoresist is affected by the shrinkage process. In actuality, there are two shrinkage regimes, which are dependent on resist feature CD or thickness. Past shrinkage models are true for larger features. However, our results show that when linewidth becomes less than the eventual penetration depth of the e-beam after full shrinkage, the apparent shrinkage magnitude decreases while shrinkage speed accelerates. Thus, for small features, most shrinkage occurs within the first measurement. This is crucial when considering the small features to be fabricated by immersion lithography. In this work, the results from the previous paper [1] will be shown to be consistent with numerically simulated results, thus lending credibility to the postulations in [1]. With these findings, we can conclude with observations about the readiness of SEM metrology for the challenges of both dry and immersion ArF lithographies as well as estimate the errors involved in calculating the original CD from the shrinkage trend.


NanoScience + Engineering | 2007

Front Matter: Volume 6648

Michael T. Postek; John Allgair

This PDF file contains the front matter associated with SPIE Proceedings Volume 6648, including the Title Page, Copyright information, Table of Contents, Introduction, and the Conference Committee listing.


Proceedings of SPIE | 2007

SEM metrology for advanced lithographies

Benjamin Bunday; John Allgair; Bryan J. Rice; Jeff D. Byers; Yohanan Avitan; Ram Peltinov; Maayan Bar-Zvi; Ofer Adan; John R. Swyers; Roni Z. Shneck

For many years, lithographic resolution has been the main obstacle for keeping the pace of transistor densification to meet Moores Law. The industry standard lithographic wavelength has evolved many times, from G-line to I-line, deep ultraviolet (DUV) based on KrF, and 193nm based on ArF. At each of these steps, new photoresist materials have been used. For the 45nm node and beyond, new lithography techniques are being considered, including immersion ArF lithography and extreme ultraviolet (EUV) lithography. As in the past, these techniques will use new types of photoresists with the capability of printing 45nm node (and beyond) feature widths and pitches. This paper will show results of an evaluation of the critical dimension-scanning electron microscopy (CD-SEM)-based metrology capabilities and limitations for the 193nm immersion and EUV lithography techniques that are suggested in the International Technology Roadmap for Semiconductors. In this study, we will print wafers with these emerging technologies and evaluate the performance of SEM-based metrology on these features. We will conclude with preliminary findings on the readiness of SEM metrology for these new challenges.


Proceedings of SPIE | 2009

Monitoring measurement tools: new methods for driving continuous improvements in fleet measurement uncertainty

Eric P. Solecky; Chas Archie; Matthew Sendelbach; Ron Fiege; Mary Zaitz; Dmitriy Shneyder; Carlos Strocchia-rivera; Andres Munoz; Srinivasan Rangarajan; William A. Muth; Andrew Brendler; Bill Banke; Bernd Schulz; Carsten Hartig; Jon-Tobias Hoeft; Alok Vaid; Mark C. Kelling; Benjamin Bunday; John Allgair

Ever shrinking measurement uncertainty requirements are difficult to achieve for a typical metrology toolset, especially over the entire expected life of the fleet. Many times, acceptable performance can be demonstrated during brief evaluation periods on a tool or two in the fleet. Over time and across the rest of the fleet, the most demanding processes often have measurement uncertainty concerns that prevent optimal process control, thereby limiting premium part yield, especially on the most aggressive technology nodes. Current metrology statistical process control (SPC) monitoring techniques focus on maintaining the performance of the fleet where toolset control chart limits are derived from a stable time period. These tools are prevented from measuring product when a statistical deviation is detected. Lastly, these charts are primarily concerned with daily fluctuations and do not consider the overall measurement uncertainty. It is possible that the control charts implemented for a given toolset suggest a healthy fleet while many of these demanding processes continue to suffer measurement uncertainty issues. This is especially true when extendibility is expected in a given generation of toolset. With this said, there is a need to continually improve the measurement uncertainty of the fleet until it can no longer meet the needed requirements at which point new technology needs to be entertained. This paper explores new methods in analyzing existing SPC monitor data to assess the measurement performance of the fleet and look for opportunities to drive improvements. Long term monitor data from a fleet of overlay and scatterometry tools will be analyzed. The paper also discusses using other methods besides SPC monitors to ensure the fleet stays matched; a set of SPC monitors provides a good baseline of fleet stability but it cannot represent all measurement scenarios happening in product recipes. The analyses presented deal with measurement uncertainty on non-measurement altering metrology toolsets such as scatterometry, overlay, atomic force microscopy (AFM) or thin film tools. The challenges associated with monitoring toolsets that damage the sample such as the CD-SEMs will also be discussed. This paper also explores improving the monitoring strategy through better sampling and monitor selection. The industry also needs to converge regarding the metrics used to describe the matching component of measurement uncertainty so that a unified approach is reached regarding how to best drive the much needed improvements. In conclusion, there will be a discussion on automating these new methods3,4 so they can complement the existing methods to provide a better method and system for controlling and driving matching improvements in the fleet.


Proceedings of SPIE | 2008

Toward accurate feature shape metrology

Ndubuisi G. Orji; Ronald G. Dixson; Benjamin Bunday; John Allgair

Over the last few years, the need for shape metrology for process control has increased. A key component of shape metrology is sidewall angle (SWA). However, few instruments measure SWA directly. The critical dimension atomic force microscope (CD-AFM) is one such instrument. The lateral scanning capability and the shape of the CD-AFM probe enable direct access to the feature sidewall. This produces profile information that could be used as a process monitor. Due to their relative insensitivity to material properties, CD-AFMs have been used as reference measurement systems (RMS) for measurands such as width. We present a technique for calculating the uncertainty of sidewall angle measurements using a CD-AFM. We outline an overall calibration strategy; address the uncertainty sources for such measurements, including instrument-related and parameter extraction; related; and discuss the way the calibration is transferred to workhorse instruments.


international conference on microelectronic test structures | 2007

A Systematic Approach to Accurate Evaluation of CD-Metrology Tools

Ndubuisi G. Orji; Benjamin Bunday; Ronald G. Dixson; John Allgair

We present a procedure for evaluating the accuracy and performance of critical dimension (CD) metrology tools used in monitoring the semiconductor manufacturing process. Our method involves a reference measurement instrument and SI traceable reference material to evaluate the accuracy and resolution of CD metrology tools. The achievable accuracy and intrinsic linearity of the tools under test are evaluated with respect to the reference system.


Journal of Micro-nanolithography Mems and Moems | 2016

Transmission electron microscope calibration methods for critical dimension standards

Ndubuisi G. Orji; Ronald G. Dixson; Domingo I. Garcia-Gutierrez; Benjamin Bunday; Michael Bishop; Michael W. Cresswell; Richard A. Allen; John Allgair

Abstract. One of the key challenges in critical dimension (CD) metrology is finding suitable dimensional calibration standards. The transmission electron microscope (TEM), which produces lattice-resolved images having scale traceability to the SI (International System of Units) definition of length through an atomic lattice constant, has gained wide usage in different areas of CD calibration. One such area is critical dimension atomic force microscope (CD-AFM) tip width calibration. To properly calibrate CD-AFM tip widths, errors in the calibration process must be quantified. Although the use of TEM for CD-AFM tip width calibration has been around for about a decade, there is still confusion on what should be considered in the uncertainty analysis. We characterized CD-AFM tip-width samples using high-resolution TEM and high angle annular dark field scanning TEM and two CD-AFMs that are implemented as reference measurement systems. The results are used to outline how to develop a rigorous uncertainty estimate for TEM/CD-AFM calibration, and to compare how information from the two electron microscopy modes are applied to practical CD-AFM measurements. The results also represent a separate validation of previous TEM/CD-AFM calibration. Excellent agreement was observed.

Collaboration


Dive into the John Allgair's collaboration.

Top Co-Authors

Avatar

Ndubuisi G. Orji

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ronald G. Dixson

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Michael T. Postek

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge