Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Paul A. Rabidoux is active.

Publication


Featured researches published by Paul A. Rabidoux.


IEEE Electron Device Letters | 2002

A high-speed, high-sensitivity silicon lateral trench photodetector

Min Yang; Kern Rim; Dennis L. Rogers; Jeremy D. Schaub; Jeffrey J. Welser; Daniel M. Kuchta; Diane C. Boyd; Francis Rodier; Paul A. Rabidoux; James T. Marsh; Adam D. Ticknor; Qingyun Yang; Allan Upham; Samuel C. Ramac

We report a novel silicon lateral trench photodetector that decouples the carrier transit distance from the light absorption depth, enabling both high speed and high responsivity. The photodetector, fabricated with fully VLSI compatible processes, exhibits a 6-dB bandwidth of 1.5 GHz at 3.0 V and an external quantum efficiency of 68% at 845 nm wavelength. A photoreceiver with a wire-bonded lateral trench detector and a BiCMOS transimpedance amplifier demonstrates excellent operation at 2.5 Gb/s data rate and 845 nm wavelength with only a 3.3 V bias.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Edge lithography as a means of extending the limits of optical and nonoptical lithographic resolution

Steven J. Holmes; Toshiharu Furukawa; Mark C. Hakey; David V. Horak; Paul A. Rabidoux; K. Rex Chen; Wu-Song Huang; Mahmoud Khojasteh; Niranjan M. Patel

Lithographic scaling entails continuously increasing resolution while at the same time improving the tolerance control on the printed images. Typically, this has been done by using shorter actinic wavelengths, increasing numerical aperture, compensating reticle patterns and similar methods that serve to enhance the fidelity of the aerial image. In some case, this scaling has been achieved by altering the method of image formation, such as with the use of alternating phase shift reticles, in which the width of the aerial image is established by phase interference rather than of a diffraction-limited process of passing light through a dark masking pattern. This paper describes development of a resist material that provides a new way to scale lithographic patterns, one similar to alternating phase shift lithography in the sense that it prints the edge of an aerial image rather than the entire image as a single pattern. Because the edge of the aerial image is of higher resolution, with smaller components of tolerance than the entire image, this type of resist may provide a new method of scaling.


Advances in Resist Technology and Processing X | 1993

Negative DUV photoresist for 16Mb-DRAM production and future generations

Will Conley; William R. Brunsvold; Richard A. Ferguson; Jeffrey D. Gelorme; Steven J. Holmes; Ronald M. Martino; Magda Petryniak; Paul A. Rabidoux; Ratnam Sooriyakumaran; John L. Sturtevant

This paper discusses a new negative tone aqueous base developable photoresist that has demonstrated excellent sub-half micron resolution with commercially available DUV (deep ultraviolet) exposure systems. This system which consists of a phenolic resin (pHOST), a glycoluril crosslinker (TMMGU), and a triflic acid generating material is currently in use for the manufacturing of 16 M b-DRAM and related CMOS logic technology. We provide supporting manufacturing data relating to our experiences with this program, along with the benefits realized by the implementation of a negative tone photoresist system.


Photomask and next-generation lithography mask technology. Conference | 2003

Current developments of a high-performance CA resist for mask-making application

Wu-Song Huang; Wei He; Wenjie Li; Wayne M. Moreau; Robert Lang; David R. Medeiros; Karen Petrillo; Arpan P. Mahorowala; Marie Angelopoulos; Christina Deverich; Chester Huang; Paul A. Rabidoux

The mask fabrication industry is slowly migrating to chemically amplified (CA) resists to take the advantages of their high contrast, resolution, and sensitivity. During this migration process, the industry has encountered several problems associated with CA resists such as baking homogeneity of thick mask plates on hot plates, footing on Cr masks, and storage stability of mask blanks. In addressing these issues, we have adopted a low Ea CA resist platform to overcome the bake latitude issue. The resist formulation has been reformulated to reduce the footing and a new package method has been introduced to extend the storage of the blanks. In addition, we will also discuss our studies on two major areas, such as sensitivity and etch resistance, which we think is extremely important for E-beam resists in the future. The mask industry started with 248nm DUV CA resist systems and then found out that there was a need for even higher sensitivity resist systems to address the throughput issue. In our early study, we have observed that by simply increasing photoacid generator loading in the resist formulation we were able to increase the sensitivity, but there was a significant reduction in the dose latitude. After studying the dissolution and inhibition properties of different PAGs, we have been able to optimize PAG and base loading in combination with proper choice of PAGs to achieve high sensitivity and large dose latitude. The new resist formulation exhibits a large dose latitude of 38% for 100 nm l/s images with high sensitivity of 4.4μC/cm2 at 100 kV. Due to the electron scattering effect and the image collapse issues with thicker resists, thinner imaging layer is desirable. Sufficient etch selectivity is needed to compensate the insufficient resist thickness. Therefore, there is a need to develop a high Cl2/O2 RIE (used in Cr etch process) etch resistant resist system for mask making. We have reported earlier that a resist formulation based on blending KRS-XE with SSQ polymer has resolved 50nm l/s resist images with etch rate 20% better than conventional novolak I-line resist systems. Since then, we have investigated a few new SSQ polymers and found some lithographic improvement in this new blending systems due to better compatibility of the SSQ polymer to the KRS-XE.


26th Annual International Symposium on Microlithography | 2001

193 lithography and RELACS processing for BEOL lithography

Ronald A. DellaGuardia; Karen Petrillo; Jia Chen; Paul A. Rabidoux; Timothy J. Dalton; Steven J. Holmes; Linda M. Hadel; Kelly Malone; Arpan P. Mahorowala; Stephen E. Greco; Richard A. Ferguson

This paper presents data obtained in developing a process using 193 nm lithography and the RELACS contact hole shrink technique. For the line/space levels, process windows showing resist performance using chrome on glass masks are presented. Data showing feature size linearity and the requirements for optical proximity correction (OPC) are presented. Some of the OPC trends observed are discussed and compared to results obtained using 248 nm lithography. Image shortening data also compares the results obtained in 193 and 248 lithography. Etch results for the new 193 resists are given and show the etch resistance of this relatively new class of photoresist materials. For contact hole and via levels, results using 193 lithography and COG masks show the importance of the mask error enhancement factor (MEEF), print bias and resolution. Due to the relative immaturity and performance of contact hole resists for 193 lithography, Clariants RELACS process was investigated with 248 nm resists. In this process contact holes are printed larger than required and then reduced to the desired size by a chemical shrink process. Results obtained with 248 lithography using state of the art resists and phase shift masks are discussed. It was found that 140 nm contact holes with at least 0.5 micrometer depth of focus could be obtained. Cross sections and process windows are shown.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Investigation of shipping material and reticle storage environment to dark loss stability of chemically amplified resist

Christina Deverich; Paul A. Rabidoux; Ken Racette

Excessive dark loss has been observed along the edge nearest the lid of aged chemically amplified resist blanks, which was traced to organic acid contamination evolving from the acrylic plastic lid of the shipping box. Thermal Gravimetric Analysis (TGA) combined with Fourier Transform Infrared Spectroscopy (FTIR) of the shipping box lid material have proven useful in identifying that organic acid evolves from the plastic at 110°C. An alternative plastic shipping material offered by the supplier was tested with the same analysis technique and no organic acid was evolved during the test. To accelerate the aging effect, both lid materials were baked in an oven for 4 days, and no excessive dark loss was observed with the new shipping material. An evaluation with chemically amplified resist comparing storage in the original shipping materials at ambient conditions vs. storage in dry nitrogen demonstrate that nitrogen storage improves, but does not eliminate, the excessive dark loss from the original plastic lid material.


Photomask and next-generation lithography mask technology. Conference | 2002

Early mask results of KRS-XE and current progress in improving sensitivity and etch resistance

Christina Deverich; Andrew J. Watts; Paul A. Rabidoux; Thomas John Cardinali; William A. Aaskov; Peter Levin; Wu-Song Huang; Wayne M. Moreau; Marie Angelopoulos; Karen Petrillo; David Madeiros

KRS-XE is a chemically amplified resist developed to enable electron-beam lithography for mask making at the 100nm node. This material has been shown to provide an excellent process window for mask manufacturing at this node. Characterization of this material using both 50keV raster and 75keV vector scan e-beam exposure systems will be presented. A higher sensitivity version of this material has been developed specifically for a vector, shaped beam 50keV application. Initial mask manufacturing results for this higher sensitivity version of KRS-XE will be presented for 75keV. In addition, recent developments using KRS-XE formulations modified to achieve high sensitivity and improved etch resistance will be discussed.


21st Annual BACUS Symposium on Photomask Technology | 2002

Use of KRS-XE positive chemically amplified resist for optical mask manufacturing

Brian Ashe; Christina Deverich; Paul A. Rabidoux; Barbara Bates Peck; Karen Petrillo; Marie Angelopoulos; Wu-Song Huang; Wayne M. Moreau; David R. Medeiros

The traditional mask making process uses chain scission-type resists such as PBS, poly(butene-1-sulfone), and ZEP, poly(methyl a-chloroacrylate-co-a-methylstyrene) for making masks with dimensions greater than 180nm. PBS resist requires a wet etch process to produce patterns in chrome. ZEP was employed for dry etch processing to meet the requirements of shrinking dimensions, optical proximity corrections and phase shift masks. However, ZEP offers low contrast, marginal etch resistance, organic solvent development, and concerns regarding resist heating with its high dose requirements1. Chemically Amplified Resist (CAR) systems are a very good choice for dimensions less than 180nm because of their high sensitivity and contrast, high resolution, dry etch resistance, aqueous development, and process latitude2. KRS-XE was developed as a high contrast CA resist based on ketal protecting groups that eliminate the need for post exposure bake (PEB). This resist can be used for a variety of electron beam exposures, and improves the capability to fabricate masks for devices smaller than 180nm. Many factors influence the performance of resists in mask making such as post apply bake, exposure dose, resist develop, and post exposure bake. These items will be discussed as well as the use of reactive ion etching (RIE) selectivity and pattern transfer.


26th Annual International Symposium on Microlithography | 2001

Characterization of linewidth variation on 248- and 193-nm exposure tools

Allen H. Gabor; Timothy A. Brunner; Jia Chen; Norman Chen; Sadanand V. Deshpande; Richard A. Ferguson; David V. Horak; Steven J. Holmes; Lars W. Liebmann; Scott M. Mansfield; Antoinette F. Molless; Christopher J. Progler; Paul A. Rabidoux; Deborah Ryan; Peter Talvi; Len Y. Tsou; Ben R. Vampatella; Alfred K. K. Wong; Qingyun Yang; Chienfan Yu

The line-width variation of a 193 nm lithographic process utilizing a 0.60 NA scanner and a binary reticle is compared to that of a 248 nm lithographic processes utilizing a 0.68 NA scanner and a variety of reticle technologies. These include binary, attenuated PSM with assist features and alternating PSM reticles. Despite the fact that the 193 nm tool has a lower NA and that the data was generated using a binary reticle, the 193 nm lithographic process allows for the line-width values to be pushed lower than previously achieved with 248 nm lithographic processes. The 3-sigma values from 4000 electrical line-width measurements per wafer (160 measurements per 25*25 mm field, 25 fields per wafer) were calculated for different mask features. The 193 nm process was capable of reaching line-widths needed for future generations of advance logic chips. Compared to the 193 nm process utilizing a binary reticle, only the 248 nm processes utilizing either an attenuated PSM with assist features or an alternating PSM reticle had similarly low line-width variation. The 248 nm processes utilizing a binary reticle had higher line-width variation even at larger poly gate conductor line-widths.


Electron-Beam, X-Ray, and Ion-Beam Submicrometer Lithographies for Manufacturing II | 1992

Manufacturing implementation of deep-UV lithography for 500-nm devices

Steven J. Holmes; Albert S. Bergendahl; Diana D. Dunn; J. Guidry; Mark C. Hakey; Karey L. Holland; Andy Horr; Dean C. Humphrey; Stephen E. Knight; D. Macaluso; Katherine C. Norris; Denis Poley; Paul A. Rabidoux; John L. Sturtevant; Dean Writer

Lithographers have steadily reduced exposure wavelength and increased numerical aperture (NA) to maintain process window and simplicity. The G-line systems of the 1970s gave way to the I-line systems of the late 80s, and then to the deep ultraviolet (DUV) systems of today. This paper describes our characterization of a DUV lithography system for the manufacture of 16-Mb DRAM chips at 500-nm ground rules. The process consists of a positive-tone, aqueous-base developable photoresist with an overcoat for sensitivity control, and an anti- reflective coating (ARC) on selected levels. The exposure tools used are step-and-scan systems with a 0.36 NA and expose bandpass of 240 - 255 nm. Apply and develop processes are clustered with the expose tool to minimize defects, reduce cycle time, and eliminate process variables.

Researchain Logo
Decentralizing Knowledge