Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Peizhen Hong is active.

Publication


Featured researches published by Peizhen Hong.


Nanoscale Research Letters | 2015

Novel 14-nm Scallop-Shaped FinFETs (S-FinFETs) on Bulk-Si Substrate

Weijia Xu; Huaxiang Yin; Xiaolong Ma; Peizhen Hong; Miao Xu; Lingkuan Meng

In this study, novel p-type scallop-shaped fin field-effect transistors (S-FinFETs) are fabricated using an all-last high-k/metal gate (HKMG) process on bulk-silicon (Si) substrates for the first time. In combination with the structure advantage of conventional Si nanowires, the proposed S-FinFETs provide better electrostatic integrity in the channels than normal bulk-Si FinFETs or tri-gate devices with rectangular or trapezoidal fins. It is due to formation of quasi-surrounding gate electrodes on scalloping fins by a special Si etch process. The entire integration flow of the S-FinFETs is fully compatible with the mainstream all-last HKMG FinFET process, except for a modified fin etch process. The drain-induced barrier lowering and subthreshold swing of the fabricated p-type S-FinFETs with a 14-nm physical gate length are 62 mV/V and 75 mV/dec, respectively, which are much better than those of normal FinFETs with a similar process. With an improved short-channel-effect immunity in the channels due to structure modification, the novel structure provides one of possibilities to extend the FinFET scalability to sub-10-nm nodes with little additional process cost.


Journal of Micro-nanolithography Mems and Moems | 2014

Transistor gate line roughness formation and reduction in sub-30-nm gate patterning using multilayer hard mask structure

Lingkuan Meng; Xiaobin He; Chunlong Li; Junjie Li; Peizhen Hong; Junfeng Li; Chao Zhao; Jiang Yan

In this work, we have investigated the evolution of line roughness from the photoresist (PR) to the poly-silicon gate etch based on the composite SiO 2 /Si 3 N 4 /SiO 2 (ONO) multilayer hard mask structure using a capacitively coupled plasma etcher. A severe line roughness could be observed during gate patterning when the PR pattern was directly transferred into the ONO hard mask. Then, the formation mechanisms of line roughness were the results of the effects of decomposed oxygen radical generated from the SiO 2 mask because of ion bombardment and the rough surface morphology of poly-silicon that accelerates the etching of both the hard mask and the PR sidewalls by reflected ions. We found that a combination of an amorphous silicon ( α -Si) capping layer and amorphous Si gate could effectively reduce the strong dependence of hard mask etch on PR and ions reflection effect from rough surface morphology of poly-silicon. Finally, our results have shown that the gate pattern with a fairly smooth line, without deformation, and with the gate length of 29 nm and the line width roughness of 3.4 nm can be achieved.


IEEE Transactions on Electron Devices | 2015

Planar Bulk MOSFETs With Self-Aligned Pocket Well to Improve Short-Channel Effects and Enhance Device Performance

Yanbo Zhang; Huilong Zhu; Hao Wu; Yongkui Zhang; Zhiguo Zhao; Jian Zhong; Hong Yang; Qingqing Liang; Dahai Wang; Junfeng Li; Cheng Jia; Jinbiao Liu; Yuyin Zhao; Chunlong Li; Lingkuan Meng; Peizhen Hong; Junjie Li; Qiang Xu; Jianfeng Gao; Xiaobin He; Yihong Lu; Yue Zhang; Tao Yang; Yao Wang; Hushan Cui; Chao Zhao; Huaxiang Yin; Huicai Zhong; Haizhou Yin; Jiang Yan

We present and demonstrate a self-aligned pocket well (SPW) structure used in planar bulk MOSFETs with a metal gate length of 25 nm and an effective channel length less than 20 nm. The SPW features a retrograde doping profile in vertical direction and a doping profile self-aligned with drain/extension in lateral direction. A novel process, called replacement spacer gate (RSG), is designed to avoid challenges in gate patterning and high-k metal gate filling. Planar bulk pMOSFETs, with SPW and halo doping, respectively, were simulated and fabricated adopting the RSG process. Due to its retrograde feature, the SPW can achieve low drain-induced barrier lowering (DIBL) along with low VT. Compared with halo doping with the same VT,sat at VDD = 0.8 V, despite no ION enhancement, the SPW reduces DIBL by 45% and enhances IEFF by 18%. Compared with halo doping with the same IOFF = 100 nA/μm at VDD = 0.8 V, the SPW structure reduces DIBL by 16%, enhances ION by 5%, and improves IEFF by 30%. In addition, with the self-aligned feature, the SPW does not deteriorate junction band-to-band tunneling (BTBT)


RSC Advances | 2014

Self-assembling morphologies of symmetrical PS-b-PMMA in different sized confining grooves

Wenhui Chen; Jun Luo; Peixiong Shi; Chunlong Li; Xiaobin He; Peizhen Hong; Junfeng Li; Chao Zhao

Directed self-assembly (DSA), an emerging lithographic technique, has attracted increasing attention as a result of its advantages of low cost, high throughput and convenient processing. However, DSA still presents some challenges, such as the control of defects, the fabrication of complex patterns and pattern registration. In this work, self-assembling morphologies of the lamellar diblock copolymer poly(styrene-b-methyl methacrylate) were investigated to gain a better understanding of the DSA process and to offer some reference for the pattern transfer process. A quantized number of lines was obtained in the directing grooves, although warps and dislocations appeared when the number of lines jumped from n to (n + 1). Gradational variations in line width were observed near the edge of the confining grooves, which shows the lack of uniformity in the patterns. A novel structure model is proposed to interpret this variation in the block copolymer lines. Valuable information and insights are provided for nanowire patterning by DSA in state-of-the-art semiconductor devices.


IEICE Electronics Express | 2015

Gate-All-Around Silicon Nanowire Transistors with channel-last process on bulk Si substrate

Xiaolong Ma; Huaxiang Yin; Peizhen Hong

For the first time, a Gate-All-Around (GAA) Silicon Nanowire Transistor (SNWT) with one special nanowire channel-last (NCL) process technology on silicon (Si) substrate is reported. Different from the traditional approach that the nanowire channels are formed and released at the initial steps of the process flow, the NCL process features the release of nanowire channels in high-k/metal gate-last process during the integration of conventional bulk-Si FinFET. It provides a stable way for the introduction of nanowire transistors in the FinFETs process for mass productions. The fabricated n-type transistors with the effective nanowire diameter (DNW) of 12 nm∼17 nm and the gate length of 100 nm demonstrated excellent subthreshold characteristics (subthreshold swing = 64mV/V and drain induced barrier lowering = 24mV/V). Meanwhile, it’s found that the H2 baking process as well as the optimized interface gate oxidation on NW channels greatly improved the device’s SS and off-current parameters.


IEEE Electron Device Letters | 2014

Mitigation of Reverse Short-Channel Effect With Multilayer TiN/Ti/TiN Metal Gates in Gate Last PMOSFETs

Lichuan Zhao; Zhaoyun Tang; Bo Tang; Xueli Ma; Jinbiao Liu; Jinjuan Xiang; Jianfeng Gao; Chunlong Li; Xiaobin He; Cheng Jia; Mingzheng Ding; Hong Yang; Yefeng Xu; Jing Xu; Hongli Wang; Peng Liu; Peizhen Hong; Lingkuan Meng; Tingting Li; Wenjuan Xiong; Hao Wu; Junjie Li; Guilei Wang; Tao Yang; Hushan Cui; Yihong Lu; Xiaodong Tong; Jun Luo; Jian Zhong; Qiang Xu

This letter investigates the mitigation of reverse short-channel effect (RSCE) using multilayer atomic layer deposition (ALD) TiN/PVD Ti/CVD TiN metal gates (MG) for the p-channel metal-oxide-semiconductor field-effect transistors fabricated the by gate-last process. It is found that work function (WF) of multilayer ALD titanium nitride/physical vapor deposition titanium/chemical vapor deposition titanium nitride (ALD TiN/PVD Ti/CVD TiN) MG in devices of short channels is larger than in devices of long channels. This mainly results from different ALD TiN crystal orientations for devices with different gate lengths, that is, TiN(100) for devices with short gate length, whereas TiN(111) for devices with long gate length. The WF of ALD TiN(100) is larger than TiN(111). Meanwhile, because of the property of PVD sputtering, the Ti layer is thinner in devices of short channels than in devices of long channels. Our results on MOSCAP show that the flat-band voltage (Vfb) for TiN MG with a Ti layer is reduced by 0.2 V. Taking all the aforementioned into account, Vth roll-up is suppressed as the gate length shrinks, leading to the mitigation of RSCE.


Microelectronic Engineering | 2017

Study of sigma-shaped source/drain recesses for embedded-SiGe pMOSFETs

Changliang Qin; Huaxiang Yin; Guilei Wang; Peizhen Hong; Xiaolong Ma; Hushan Cui; Yihong Lu; Lingkuan Meng; Haizhou Yin; Huicai Zhong; Jiang Yan; Huilong Zhu; Qiuxia Xu; Junfeng Li; Chao Zhao; Henry H. Radamson


Applied Surface Science | 2016

Gate patterning in 14 nm and beyond nodes: from planar devices to three dimensional Finfet devices

Lingkuan Meng; Peizhen Hong; Xiaobin He; Chunlong Li; Junjie Li; Junfeng Li; Chao Zhao; Yayi Wei; Jiang Yan


ECS Solid State Letters | 2015

Self-Aligned Fin-On-Oxide (FOO) FinFETs for Improved SCE Immunity and Multi-VTH Operation on Si Substrate

Xiaolong Ma; Huaxiang Yin; Peizhen Hong; Weijia Xu


Solid-state Electronics | 2016

Process optimizations to recessed e-SiGe source/drain for performance enhancement in 22 nm all-last high-k/metal-gate pMOSFETs

Changliang Qin; Guilei Wang; Peizhen Hong; Jinbiao Liu; Huaxiang Yin; Haizhou Yin; Xiaolong Ma; Hushan Cui; Yihong Lu; Lingkuan Meng; Jinjuan Xiang; Huicai Zhong; Huilong Zhu; Qiuxia Xu; Junfeng Li; Jian Yan; Chao Zhao; Henry H. Radamson

Collaboration


Dive into the Peizhen Hong's collaboration.

Top Co-Authors

Avatar

Huaxiang Yin

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Junfeng Li

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Lingkuan Meng

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Chunlong Li

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Jiang Yan

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Chao Zhao

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Xiaobin He

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Yihong Lu

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Guilei Wang

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Haizhou Yin

Chinese Academy of Sciences

View shared research outputs
Researchain Logo
Decentralizing Knowledge