Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Philipp Schläfer is active.

Publication


Featured researches published by Philipp Schläfer.


signal processing systems | 2013

A new dimension of parallelism in ultra high throughput LDPC decoding

Philipp Schläfer; Norbert Wehn; Matthias Alles; Timo Lehnigk-Emden

In modern communication systems the required data rates are continuously increasing. High speed transmissions can easily generate throughputs far beyond 1 Tbit/s. To ensure error free communication, channel codes like Low-Density Parity Check (LDPC) codes are utilized. However state-of-the-art LDPC decoders can process only data rates in the range of 10 to 50 Gbit/s. This results in a gap in decoder performance which has to be closed. Therefore we propose a new ultra high speed LDPC decoder architecture. We show that our architecture significantly reduces the routing congestion which poses a big problem for fully parallel, high speed LDPC decoders. The presented 65nm ASIC implementation runs at 257 MHz and consumes an area of 12 mm2The resulting system throughput is 160 Gbit/s, it is the fastest LDPC decoder which has been published up to now. At the same time we show that extremely parallel architectures do not only increase the maximum throughput but also increase area and power efficiency in comparison to state-of-the-art decoders.


Vlsi Design | 2012

Design space of flexible multigigabit LDPC decoders

Philipp Schläfer; Christian Weis; Norbert Wehn; Matthias Alles

Multigigabit LDPC decoders are demanded by standards like IEEE 802.15.3c and IEEE 802.11ad. To achieve the high throughput while supporting the needed flexibility, sophisticated architectures are mandatory. This paper comprehensively presents the design space for flexible multigigabit LDPC applications for the first time. The influence of various design parameters on the hardware is investigated in depth. Two new decoder architectures in a 65nm CMOS technology are presented to further explore the design space. In the past, the memory domination was the bottleneck for throughputs of up to 1Gbit/s. Our systematic investigation of column- versus row-based partially parallel decoders shows that this is no more a bottleneck for multigigabit architectures. The evolutionary progress in flexible multigigabit LDPC decoder design is highlighted in an extensive comparison of state-of-the-art decoders.


international conference on telecommunications | 2015

Syndrome based check node processing of high order NB-LDPC decoders

Philipp Schläfer; Norbert Wehn; Matthias Alles; Timo Lehnigk-Emden; Emmanuel Boutillon

Non-binary low-density parity-check codes have superior communications performance compared to their binary counterparts. However, to be an option for future standards, efficient hardware architectures must be developed. State-of-the-art decoding algorithms lead to architectures suffering from low throughput and high latency. The check node function accounts for the largest part of the decoders overall complexity. In this paper a new, hardware aware check node algorithm is proposed. It has state-of-the-art communications performance while reducing the decoding complexity. Moreover the presented algorithm allows for partially or even fully parallel processing of the check node operations which is not applicable with currently used algorithms. It is therefore an excellent candidate for future high throughput hardware implementations.


Archive | 2015

Challenges and Limitations for Very High Throughput Decoder Architectures for Soft-Decoding

Norbert Wehn; Stefan Scholl; Philipp Schläfer; Timo Lehnigk-Emden; Matthias Alles

In modern communications systems the required data rates are continuously increasing. Especially consumer electronic applications like video on demand, IP-TV, or video chat require large amounts of bandwidth. Already today’s applications require throughputs in the order of Gigabits per second and very short latency. Current mobile communications systems achieve 1 Gbit/s (LTE [1]) and wired transmission enables even higher data rates of 10 Gbit/s (e.g., Thunderbolt [2], Infiniband [3]) up to 100 Gbit/s. For the future it is clearly expected that even higher data rates become necessary. Early results show throughputs in the order of 100 Tbit/s [4] for optical fiber transmissions.


personal, indoor and mobile radio communications | 2015

A new architecture for high throughput, low latency NB-LDPC check node processing

Philipp Schläfer; Vladimir Rybalkin; Norbert Wehn; Matthias Alles; Timo Lehnigk-Emden; Emmanuel Boutillon

Non-binary low-density parity-check codes have superior communications performance compared to their binary counterparts. However, to be an option for future standards, efficient hardware architectures must be developed. State-of-the-art decoding algorithms lead to architectures suffering from low throughput and high latency. The check node function accounts for the largest part of the decoders overall complexity. In this paper a new hardware aware check node algorithm and its architecture is proposed. It has state-of-the-art communications performance while reducing the decoding complexity. The presented architecture has a 14 times higher area efficiency, increases the energy efficiency by factor 2.5 and reduces the latency by factor of 3.5 compared to state-of-the-art architectures.


latin american symposium on circuits and systems | 2013

ASIC implementation of a modified QR decomposition for tree search based MIMO detection

Christina Gimmler-Dumont; Philipp Schläfer; Norbert Wehn

Multiple-antenna systems offer very attractive gains in data rates and transmission reliability. Therefore, they are employed in many modern communication standards. However, the detection and separation of these multiple data streams can be very complex. For tree search based detection methods, a channel preprocessing is mandatory which consists mainly of a QR matrix decomposition. We propose a modification of the standard QR matrix decomposition which simplifies the tree search while not increasing the complexity of the QR decomposition. We present hardware architectures of the original and the modified QR decomposition. The resulting ASIC implementation in 65nm technology runs at a maximum clock frequency of 370 MHz and consumes an area of 0.14mm2. The power consumption at the specified clock frequency of 300 MHz is only 6.8mW.


design, automation, and test in europe | 2016

Error resilience and energy efficiency: An LDPC decoder design study

Philipp Schläfer; Chu-Hsiang Huang; Clayton Schoeny; Christian Weis; Yao Li; Norbert Wehn; Lara Dolecek

Iterative decoding algorithms for low-density parity check (LDPC) codes have an inherent fault tolerance. In this paper, we exploit this robustness and optimize an LDPC decoder for high energy efficiency: we reduce energy consumption by opportunistically increasing error rates in decoder memories, while still achieving successful decoding in the final iteration. We develop a theory-guided unequal error protection (UEP) technique. UEP is implemented using dynamic voltage scaling that controls the error probability in the decoder memories on a per iteration basis. Specifically, via a density evolution analysis of an LDPC decoder, we first formulate the optimization problem of choosing an appropriate error rate for the decoder memories to achieve successful decoding under minimal energy consumption. We then propose a low complexity greedy algorithm to solve this optimization problem and map the resulting error rates to the corresponding supply voltage levels of the decoder memories in each iteration of the decoding algorithm. We demonstrate the effectiveness of our approach via ASIC synthesis results of a decoder for the LDPC code in the IEEE 802.11ad standard, implemented in 28nm FD-SOI technology. The proposed scheme achieves an increase in energy efficiency of up to 40% compared to the state-of-the-art solution.


ieee jordan conference on applied electrical engineering and computing technologies | 2015

A new LDPC decoder hardware implementation with improved error rates

Philipp Schläfer; Stefan Scholl; E. Leonardi; Norbert Wehn

LDPC codes are commonly decoded by conventional belief propagation algorithms like the min-sum algorithm. However especially for small block lengths belief propagation performs poorly in comparison to maximum likelihood decoding. In this paper we propose a new decoding algorithm, that is inspired by augmented belief propagation from literature and present hardware architectures and implementations for 28nm ASIC technology. The new decoder has a much higher complexity, but provides a gain of up to 1.2 dB signal-to-noise ratio compared to conventional belief propagation decoding.


reconfigurable computing and fpgas | 2013

Loopy — An open-source TCP/IP rapid prototyping and validation framework

Christian de Schryver; Philipp Schläfer; Norbert Wehn; Thomas Fischer; Arnd Poetzsch-Heffter

Setting up host-to-board connections for hardware validation or hybrid simulation purposes is a time-consuming and error-prone process. In this paper we present a novel approach to automatically generate host-to-board connections, called the Loopy framework. The generated drivers enable blocking and non-blocking access to the hardware from high-level languages like C++ through an intuitive, object-based model of the hardware implementation. The framework itself is written in Java, and offers cross-platform support. It is open-source, well-documented, and can be enhanced with new supported languages, boards, tools, and features easily. Loopy combines several approaches presented in the past to an all-embracing helper toolkit for hardware designers, verification engineers, or people who want to use hardware accelerators in a software context. We have evaluated Loopy with real-life examples and present a case study with a complex MIMO system hardware-in-the-Ioop setup.


vehicular technology conference | 2016

A New Architecture for High Speed, Low Latency NB-LDPC Check Node Processing for GF(256)

Vladimir Rybalkin; Philipp Schläfer; Norbert Wehn

Non-binary low-density parity-check codes have superior communications performance compared to their binary counterparts. However, to be an option for future standards, efficient hardware architectures are mandatory. State-of-the-art decoding algorithms result in architectures suffering from low throughput and high latency. The check node function accounts for the largest part of the decoders overall complexity. To the best of our knowledge, we propose the first architecture for high speed, low latency Non-Binary Low-Density Parity-Check Check Node processing for GF(256). It has state-of-the-art communications performance while largely reducing the hardware complexity. The presented architecture has a 3.3 times higher area efficiency, increases the energy efficiency by factor 2.5 and reduces the latency by factor of 5.5 compared to the first implementation of Check Node for GF(256) based on the state-of-the-art FWBW scheme that was also implemented in the scope of this work.

Collaboration


Dive into the Philipp Schläfer's collaboration.

Top Co-Authors

Avatar

Norbert Wehn

Kaiserslautern University of Technology

View shared research outputs
Top Co-Authors

Avatar

Matthias Alles

Kaiserslautern University of Technology

View shared research outputs
Top Co-Authors

Avatar

Timo Lehnigk-Emden

Kaiserslautern University of Technology

View shared research outputs
Top Co-Authors

Avatar

Stefan Scholl

Kaiserslautern University of Technology

View shared research outputs
Top Co-Authors

Avatar

Vladimir Rybalkin

Kaiserslautern University of Technology

View shared research outputs
Top Co-Authors

Avatar

Emmanuel Boutillon

Kaiserslautern University of Technology

View shared research outputs
Top Co-Authors

Avatar

Christian Weis

Kaiserslautern University of Technology

View shared research outputs
Top Co-Authors

Avatar

Christian de Schryver

Kaiserslautern University of Technology

View shared research outputs
Top Co-Authors

Avatar

Christina Gimmler-Dumont

Kaiserslautern University of Technology

View shared research outputs
Top Co-Authors

Avatar

Arnd Poetzsch-Heffter

Kaiserslautern University of Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge