Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Po-Jung Sung is active.

Publication


Featured researches published by Po-Jung Sung.


IEEE Transactions on Electron Devices | 2014

Low-Temperature Microwave Annealing Processes for Future IC Fabrication—A Review

Yao-Jen Lee; Ta-Chun Cho; Shang-Shiun Chuang; Fu-Kuo Hsueh; Yu-Lun Lu; Po-Jung Sung; Hsiu-Chih Chen; Michael I. Current; Tseung-Yuen Tseng; Tien-Sheng Chao; Chenming Hu; Fu-Liang Yang

Microwave annealing (MWA) and rapid thermal annealing (RTA) of dopants in implanted Si are compared in their abilities to produce very shallow and highly activated junctions. First, arsenic (As), phosphorus (P), and BF2 implants in Si substrate were annealed by MWA at temperatures below 550 °C. Next, enhancing the substitutional carbon concentration ([C]sub) by cluster carbon implantation in (100) Si substrates with MWA or RTA techniques was investigated. Annealing temperatures and time effects were studied. Different formation mechanisms of SiCx layer were observed. In addition, substrate temperature is an important factor for dopant activation during MWA and in situ doped a-Si on oxide/Si substrate or glass were compared to elucidate the substrate temperature effect. After the discussion of dopant activation in Si substrates, low temperature formation of ultrathin NiGe layer is presented. Ultrathin NiGe films with low sheet resistance have been demonstrated with a novel two-step MWA process. In the two-step MWA process, the first step anneals the sample with low power MWA, and the second step applies higher power MWA for reducing sheet resistance. During fixed-frequency microwave heating, standing wave patterns may be present in the MWA chamber resulting in nodes and antinodes and thermal variations over the process wafer. Therefore, the effects of Si or quartz susceptor wafers on dopant activation and sheet resistance uniformity during fixed-frequency MWA were investigated.


international electron devices meeting | 2014

A novel junctionless FinFET structure with sub-5nm shell doping profile by molecular monolayer doping and microwave annealing

Yao Jen Lee; Ta-Chun Cho; Kuo Hsing Kao; Po-Jung Sung; Fu-Kuo Hsueh; P.-C. Huang; Chien Ting Wu; S.-H. Hsu; Wen-Hsien Huang; Hsiu-Chih Chen; Yiming Li; Michael I. Current; B. Hengstebeck; J. Marino; T. Büyüklimanli; Jia-Min Shieh; Tien Sheng Chao; Wen Fa Wu; Wen-Kuan Yeh

For the first time, a novel junctionless (JL) FinFET structure with a shell doping profile (SDP) formed by molecular monolayer doping (MLD) method and microwave annealing (MWA) at low temperature is proposed and studied. Thanks to the ultra thin SDP leading to an easily-depleted channel, the proposed JLFinFET can retain the ideal subthreshold swing (~ 60 mV/dec) at a high doping level according to simulations. Poly Si based JLFinFETs processed with MLD and MWA exhibit superior subthreshold swing (S.S. ~ 67mV/dec) and excellent on-off ratio (>106) for both n and p channel devices. Threshold voltage (VTH) variation due to random dopant fluctuation (RDF) is reduced in MLD-JLFinFETs, which can be attributed to the molecule self-limiting property of MLD on the Si surface and quasi-diffusionless MWA at low temperature. Our results reveal the potential of the proposed SDP enabling a JLFET showing reduced variation and outstanding performance for low power applications.


IEEE Electron Device Letters | 2013

Low-Temperature Microwave Annealing for MOSFETs With High-k/Metal Gate Stacks

Yao-Jen Lee; Bo-An Tsai; Chiung-Hui Lai; Zheng-Yao Chen; Fu-Kuo Hsueh; Po-Jung Sung; Michael I. Current; Chih-Wei Luo

In this letter, low-temperature (480°C) microwave annealing (MWA) for MOS devices with high-k/metal gate-stacks is demonstrated. The capacitance-voltage (C-V) characteristics of the MOS gate-stacks, TiN/HfO2, and TaN/HfO2, after different annealing methods are discussed. The increases in equivalent oxide thickness (EOT) of the MOS devices after dopant activation processing can be eliminated using low temperature MWA. In addition, the short channel effects in nMOSFETs annealed by MWA can be also improved because of the suppression of dopant diffusion and stabilization of EOT.


international electron devices meeting | 2016

High performance complementary Ge peaking FinFETs by room temperature neutral beam oxidation for sub-7 nm technology node applications

Yao Jen Lee; T.-C. Hong; Fu-Kuo Hsueh; Po-Jung Sung; Chieh-Yang Chen; Shang-Shiun Chuang; Ta-Chun Cho; Shuichi Noda; Y. C. Tsou; Kuo Hsing Kao; Chien Ting Wu; T. Y. Yu; Y. L. Jian; Chun Jung Su; Y. M. Huang; Wen-Hsien Huang; Bo Yuan Chen; Min Cheng Chen; K. P. Huang; Jiun-Yun Li; M. J. Chen; Yiming Li; Seiji Samukawa; Wen Fa Wu; Guo Wei Huang; Jia-Min Shieh; Tseung-Yuen Tseng; Tien Sheng Chao; Y. H. Wang; Wen-Kuan Yeh

Ge peaking n- and p-FinFETs have been demonstrated by adopting neutral beam etching (NBE) and anisotropic neutral beam oxidation (NBO) processes. The irradiation-free NB processes not only suppress surface roughness but also guarantee low defect generation on the etched Ge surface. The fabricated Ge peaking FinFETs possess several unique features: (1) A peaking fin configuration with a 6-nm top-gate formed by an anisotropic NBO process at room temperature. (2) Nearly defect-free three dimensional channel surfaces by NB processes. (3) Ion and Gm improvement by NB processes as compared to that by conventional inductively coupled plasma etching (ICP). (4) Recorded high Ion/Ioff ratio and low subthreshold swing (S.S. ∼ 70 mV/dec.) of Ge n-FinFETs. (5) Excellent immunity for short channel effect of Ge FinFETs.


IEEE Transactions on Electron Devices | 2016

32-nm Multigate Si-nTFET With Microwave-Annealed Abrupt Junction

Fu-Ju Hou; Po-Jung Sung; Fu-Kuo Hsueh; Chien-Ting Wu; Yao-Jen Lee; Mao-Nang Chang; Yiming Li; Tuo-Hung Hou

Microwave annealing (MWA) activates dopants through solid-phase epitaxial regrowth with low thermal budget. Optimizing the microwave power during MWA is capable of realizing low defect density at the junction, suppressing the dopant diffusion, and mitigating the straggle effect of ion implantation. These favorable features of MWA facilitate the formation of extremely abrupt junction profiles in tunnel FETs (TFETs). In conjunction with the improved gate-to-channel controllability of the multiple-gate (MG) structure, we demonstrate high-performance lateral n-type Si-TFETs using a CMOS-compatible process flow with excellent band-to-band tunneling efficiency and device scalability. The 32-nm MG Si-TFET shows promising characteristics, including a high ON-state current of 41.3 μA/μm, a large current ON/OFF ratio of >5 × 107, and minimal short-channel effect using VG = 2 V and VD = 1 V.


IEEE Transactions on Electron Devices | 2016

Suspended Diamond-Shaped Nanowire With Four {111} Facets for High-Performance Ge Gate-All-Around FETs

Fu-Ju Hou; Po-Jung Sung; Fu-Kuo Hsueh; Chien-Ting Wu; Yao-Jen Lee; Yiming Li; Seiji Samukawa; Tuo-Hung Hou

A feasible pathway to scale germanium (Ge) FETs in future technology nodes has been proposed using the tunable diamond-shaped Ge nanowire (NW). The Ge NW was obtained through a simple top-down dry etching and blanket Ge epitaxy techniques readily available in mass production. The different etching selectivity of surface orientations for Cl2 and HBr was employed for the three-step isotropic/anisotropic/isotropic dry etching. The ratio of Cl2 and HBr, mask width, and Ge recess depth were crucial for forming the nearly defect-free suspended Ge channel through effective removal of dislocations near the Si/Ge interface. This technique could also be applied for forming diamond-shaped Si NWs. The suspended diamond-shaped NW gate-all-around NWFETs feature excellent electrostatics, the favorable {111} surfaces along the (110) direction with high carrier mobility, and the nearly defect-free Ge channel. The pFET with a high ION/IOFF ratio of 6 × 107 and promising nFET performance have been demonstrated successfully.


ieee international nanoelectronics conference | 2014

Low-temperature microwave annealing processes for future IC fabrication

Yao-Jen Lee; Bo-An Tsai; Ta-Chun Cho; Fu-Kuo Hsueh; Po-Jung Sung; Chiung-Hui Lai; Chih-Wei Luo; Tien-Sheng Chao

Low temperature microwave annealing (MWA) for IC processing is promising. In this study, using microwave annealing for dopant activation and thermal stability of the high-k/metal gate is investigated. Implanted species, such as phosphorus, arsenic, and boron, can also be well-activated and diffusionless in Si after microwave annealing. The flat band voltage shift of metal gate was suppressed due to the low temperature process. The increases in equivalent oxide thickness (EOT) of the MOS devices after dopant activation processing can be eliminated by using low temperature MWA. In addition, the short channel effects in n & pMOSFETs annealed by MWA can be also improved due to the suppression of dopant diffusion and stabilization of EOT.


Archive | 2013

Fabricating method of semiconductor chip

Yao-Jen Lee; Po-Jung Sung; Dawei Heh; Fu-Ju Hou; Chih-Hung Lo; Fu-Kuo Hsueh; Hsiu-Chih Chen


IEEE Transactions on Electron Devices | 2017

High-Performance Uniaxial Tensile Strained n-Channel JL SOI FETs and Triangular JL Bulk FinFETs for Nanoscaled Applications

Po-Jung Sung; Ta-Chun Cho; Fu-Ju Hou; Fu-Kuo Hsueh; Sheng-Ti Chung; Yao-Jen Lee; Michael I. Current; Tien-Sheng Chao


Archive | 2013

METHOD FOR FORMING THIN METAL COMPOUND FILM AND SEMICONDUCTOR STRUCTURE WITH THIN METAL COMPOUND FILM

Yao-Jen Lee; Fu-Kuo Hsueh; Po-Jung Sung; Dawei Heh; Fu-Ju Hou; Chih-Hung Lo; Hsiu-Chih Chen

Collaboration


Dive into the Po-Jung Sung's collaboration.

Top Co-Authors

Avatar

Fu-Kuo Hsueh

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Yao-Jen Lee

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Ta-Chun Cho

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Kuo Hsing Kao

National Cheng Kung University

View shared research outputs
Top Co-Authors

Avatar

Tien-Sheng Chao

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Yiming Li

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Jia-Min Shieh

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Shang-Shiun Chuang

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Tien Sheng Chao

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Tseung-Yuen Tseng

National Chiao Tung University

View shared research outputs
Researchain Logo
Decentralizing Knowledge