Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kaushal S. Patel is active.

Publication


Featured researches published by Kaushal S. Patel.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Reactive ion etching of fluorine containing photoresist

Kaushal S. Patel; Victor Pham; Wenjie Li; Mahmoud Khojasteh; Pushkara Rao Varanasi

Photoresist are commonly used in semiconductor processing as soft masks for pattern transfer into multilayer stacks using reactive ion etching. The etch performance of the photoresist during such a process is an important consideration during resist selection. Since resist composition strongly influences its etch performance, understanding the correlation is important for resist development as well as etch optimization. In this paper, blanket etch rate of photoresist used in ArF lithography were examined as a function of polymer structure and atomic content. In particular, the impact of Fluorine content on blanket etch rate was studied. Etch results for a diverse sample of development and commercial resists were first fitted with the Ohnishi parameter [2] and ring parameter [3] models. The Ohnishi model correlates the carbon, hydrogen and oxygen atomic content of the resist to blanket etch rate whereas the ring parameter model is based on the cyclic carbon content. Since some of the evaluated resists contained fluorine which significantly influences the etch performance, both models were found to be inadequate in assessing its impact. Thus we introduce a modified Ohnishi parameter model to help examine the relative contributions due to resist fluorine content. With the new empirical model, good fits were obtained for etch rates of a fluorine containing resists. The model also enabled analysis of the etch process to determine the relative influence of oxygen versus fluorine content in the resist on etch rate. Finally, the model was utilized to design newer etch resistant materials and the improved etch performance was verified.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Silicon containing polymer in applications for 193 nm high NA lithography processes

Sean D. Burns; Dirk Pfeiffer; Arpan P. Mahorowala; Karen Petrillo; Alexandera Clancy; Katherina Babich; David R. Medeiros; Scott D. Allen; Steven J. Holmes; Michael M. Crouse; Colin J. Brodsky; Victor Pham; Yi-Hsiung Lin; Kaushal S. Patel; Naftali E. Lustig; Allen H. Gabor; Christopher D. Sheraw; Phillip J. Brock; Carl E. Larson

The ability to extend 193 nm lithography resolution depends on increasing the numerical aperture (NA) of the exposure system, resulting in smaller depth of focus, which subsequently requires use of thinner photoresists. Bottom antireflective coatings (BARCs) are a necessity, but the organic composition of current 193 nm BARCs offers poor etch selectivity to the photoresist. As a result, image transfer with thin resists is becoming increasingly difficult. It is also more challenging to control reflectivity at high numerical apertures with a thin, single layer BARC. To address these issues, IBM has developed a new class of silicon containing BARCs. These materials exhibit high etch selectivity that will significantly improve the performance of high NA 193 nm lithography. The incorporation of silicon in the backbone of the polymers comprising these BARCS affords a high etch selectivity to conventional organic resists and therefore these polymers can be used as thick planarizing BARCs. The optical constants of these BARCs have been tuned to provide good reflectivity control at NA > 1.2 These materials can also be used as part of a dual layer BARC scheme composed of the thin organosilicon based BARC coated over a planarizing organic underlayer. This scheme has also been optically tuned to provide reflectivity suppression at high incident angles. By utilizing a thick BARC, a novel contact hole shrink process is enabled that allows tapering of the sidewall angle and controlling the post-etch critical dimension (CD) bias. Structures of the silicon containing polymer, formulation chemistry, optical tunability, lithography at high NA and RIE pattern transfer are reported.


Advances in Resist Technology and Processing XXI | 2004

IBM-JSR 193-nm negative tone resist: polymer design, material properties, and lithographic performance

Kaushal S. Patel; Margaret C. Lawson; Pushkara Rao Varanasi; David R. Medeiros; Gregory M. Wallraff; Phillip J. Brock; Richard Anthony DiPietro; Yukio Nishimura; Takashi Chiba; Mark Slezak

It has been previously proposed that negative-tone resist process would have an intrinsic advantage for printing narrow trench geometry. To demonstrate this for 193nm lithography, a negative resist with performance comparable to a leading positive resist is required. In this paper we report the joint development of a hexafluoroalcohol containing, 193nm, negative-tone, chemically amplified resist based on the crosslinking approach. Lithographic performance is presented which includes the ability of the negative-tone resist to print 90nm line/space and isolated trenches with standard resist processing. The impact of the fluorinated polymer on etch performance is also quantified. Finally, key resist characteristics and their influence on performance and limiting factors such as microbridging are discussed.


Advances in resist technology and processing. Conference | 2005

193nm single layer photoresists: defeating tradeoffs with a new class of fluoropolymers

Pushkara Rao Varanasi; Ranee W. Kwong; Mahmoud Khojasteh; Kaushal S. Patel; Kuang-Jung Chen; Wenjie Li; Margaret C. Lawson; Robert D. Allen; Ratnam Sooriyakumaran; Phillip J. Brock; Linda K. Sundberg; Mark Slezak; Gary Dabbagh; Zhi Liu; Yukio Nishimura; Takashi Chiba; Tsutomu Shimokawa

The focus of this paper is to utilize the acidity of hexafluoroalcohol (HFA) in addressing performance deficiencies associated with current 193nm methacrylate resist materials. In this study, we have designed and developed a variety of HFA pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was shown that typical swelling behavior observed in methacrylate resists can be substantially reduced or eliminated by replacing commonly used multicylcic lactone polar functionalities with acidic HFA side chains. The incorporation of aliphatic spacers between HFA and polymer backbone were found to be more effective than cyclic hindered moieties, in achieving linear dissolution characteristics. The typical poor etch stability associated with fluorine atoms in HFA can be substantially minimized by designing side chains with a combination of appropriate cyclic and aliphatic moieties and fine-tuning the corresponding polymer compositions. PEB sensitivity of high activation energy protecting group (e.g., methyladamentyl group) based methacrylate resists can be substantially improved through the incorporation of acidic HFA side chains (6nm/C to <1 nm/C). The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.


Design and process integration for microelectronic manufacturing. Conference | 2006

Meeting critical gate linewidth control needs at the 65 nm node

Arpan P. Mahorowala; Scott Halle; Allen H. Gabor; William Chu; Alexandra Barberet; Donald J. Samuels; Amr Abdo; Len Y. Tsou; Wendy Yan; Seiji Iseda; Kaushal S. Patel; Bachir Dirahoui; Asuka Nomura; Ishtiaq Ahsan; Faisal Azam; Gary Berg; Andrew Brendler; Jeffrey A. Zimmerman; Tom Faure

With the nominal gate length at the 65 nm node being only 35 nm, controlling the critical dimension (CD) in polysilicon to within a few nanometers is essential to achieve a competitive power-to-performance ratio. Gate linewidths must be controlled, not only at the chip level so that the chip performs as the circuit designers and device engineers had intended, but also at the wafer level so that more chips with the optimum power-to-performance ratio are manufactured. Achieving tight across-chip linewidth variation (ACLV) and chip mean variation (CMV) is possible only if the mask-making, lithography, and etching processes are all controlled to very tight specifications. This paper identifies the various ACLV and CMV components, describes their root causes, and discusses a methodology to quantify them. For example, the site-to-site ACLV component is divided into systematic and random sub-components. The systematic component of the variation is attributed in part to pattern density variation across the field, and variation in exposure dose across the slit. The paper demonstrates our teams success in achieving the tight gate CD tolerances required for 65 nm technology. Certain key challenges faced, and methods employed to overcome them are described. For instance, the use of dose-compensation strategies to correct the small but systematic CD variations measured across the wafer, is described. Finally, the impact of immersion lithography on both ACLV and CMV is briefly discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

New 193nm top antireflective coatings for superior swing reduction

Wu-Song Huang; William Heath; Ranee Kwong; Wenjie Li; Kaushal S. Patel; Pushkara Rao Varanasi

Reflectivity caused by topography on wafer stacks is very difficult to reduce with the use of thin antireflective bottom layers. A coating of top antireflective layer, with 1/4n wavelength of exposure source (193nm in this case), will create destructive interference between incident and reflected light. This destructive interference reduces the variation of energy deposited in resist of different thicknesses, which in turns, reduces the CD variation over topography. The optimum refractive index of this TARC layer, when coated over a resist with n value of 1.69, is around 1.3. Most currently available commercial TARCs, which are based on fluoropolymers, have an n value of 1.47 or higher. Even for TARCs with n value in this range, the swing amplitude is still in the >20% range. Besides the required low n value necessary for swing reduction, the TARC also should not affect resist image profiles. In this paper, we will discuss a newly developed TARC system which is different from the traditional approach in new TARC design. This new absorbing TARC system has demonstrated a superior capability of reducing CD swing, to less than 5%, without impacting resist image profile. This TARC system can provide a solution to the concern of CD variation over different topography on a wafer in device manufacturing.


Advances in resist technology and processing. Conference | 2005

Progress toward developing high performance immersion compatible materials and processes

Karen Petrillo; Kaushal S. Patel; Rex Chen; Wenjie Li; Ranee Kwong; Peggy Lawson; Rao Varanasi; Christopher F. Robinson; Steven J. Holmes; Dario Gil; Kurt R. Kimmel; Mark Slezak; Gary Dabbagh; Takashi Chiba; Tsutomu Shimokawa

To make immersion lithography a reality in manufacturing, several challenges related to materials and defects must be addressed. Two such challenges include the development of water immersion compatible materials, and the vigorous pursuit of defect reduction with respect to both the films and the processes. Suitable resists and topcoats must be developed to be compatible with the water-soaked environment during exposure. Going beyond the requisite studies of component leaching from films into the water, and absorption of water into the films, application-specific optimization of photoresists and top coats will be required. This would involve an understanding of how a wide array of resist chemistry and formulations behave under immersion conditions. The intent of this paper is to compare lithographic performance under immersion and dry conditions of resists containing different polymer platforms, protecting groups, and formulations. The compatibility of several developer-soluble top-coat materials with a variety of resists is also studied with emphasis on profile control issues. With respect to defects, the sources are numerous. Bubbles and particles created during the imaging process, material remnants from incomplete removal of topcoats, and image collapse as related to resist swelling from water infusion are all sources of yield-limiting defects. Parallel efforts are required in the material development cycle focusing both on meeting the lithographic requirements, and on understanding and eliminating sources of defects. In this paper, efforts in the characterization and reduction of defects as related to materials chemistry and processing effects will be presented.


Advances in Resist Technology and Processing XX | 2003

High-performance 193-nm photoresist materials based on a new class of polymers containing spaced ester finctionalities

Mahmoud Khojasteh; K. Rex Chen; Ranee W. Kwong; Margaret C. Lawson; Pushkara Rao Varanasi; Kaushal S. Patel; Eiichi Kobayashi

ArF lithography has been selected as the imaging method for the 90 nm technology node. Manufacturing related issues will have to be addressed when designing advanced 193 nm resists that are production worthy. Post exposure bake (PEB) sensitivity, dissolution properties and process window are some issues that need continuous improvement. Initially our investigation focused on a cyclic olefin (CO) platform which led us to a better understanding of the relationship between polymer structure and physical properties and how to improve cyclic olefin resist performance. Since then we have developed a new class of acrylate polymers with pendant “spaced ester” functionality. We have investigated the potential use of “spaced ester” functionality on improving the lithographic performance of CO and acrylate resist platforms. We have found that with “spaced ester” as pending group in CO polymer structures, it can lower the Tg and improve the dissolution properties of the CO resists. Resists formulated with acrylate containing “spaced ester” group exhibit excellent PEB temperature sensitivity (1 nm/°C), and are soluble in PGMEA. In addition, we have demonstrated sub-100 nm resolution with excellent process window through formulation optimization for acrylate based resists. This paper will focus on the “spaced ester” based polymer design, material properties; resist characteristics, and the lithographic performance for logic dense line applications.


Advances in Resist Technology and Processing XXI | 2004

193-nm negative resist based on acid-catalyzed elimination of polar molecules

Ratnam Sooriyakumaran; Blake Davis; Carl E. Larson; Phillip J. Brock; Richard Anthony DiPietro; Thomas I. Wallow; Eric F. Connor; Linda K. Sundberg; Gregory Breyta; Robert D. Allen; Kaushal S. Patel; Pushkara Rao Varanasi

Development of 193-nm negative resists that meet the stringent performance requirements of sub-100 nm resolution with conventional 0.26 N TMAH developer has proven to be a significant challenge. Most of the systems that are currently under development are based on cross-linking mechanisms. They commonly suffer from image distortion caused by micro-bridging. An alternative approach is to look at polarity switch mechanisms. We have investigated the acid-catalyzed elimination of polar molecules as one such mechanism which may provide a pathway to develop negative resists that do not suffer from micro-bridging.


Archive | 2004

Fluorinated photoresist materials with improved etch resistant properties

Mahmoud Khojasteh; Pushkara Rao Varanasi; Wenjie Li; Kuang-jung J. Chen; Kaushal S. Patel

Collaboration


Dive into the Kaushal S. Patel's collaboration.

Researchain Logo
Decentralizing Knowledge