Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where R. Beneyton is active.

Publication


Featured researches published by R. Beneyton.


Journal of Applied Physics | 2010

Simulation of pattern effect induced by millisecond annealing used in advanced metal-oxide-semiconductor technologies

F. Cacho; H. Bono; R. Beneyton; B. Dumont; A. Colin; Pierre Morin

The submelt millisecond annealing process was introduced in the context of complementary metal-oxide semiconductor technology downscaling to enhance the activation of dopants. However, circuit designs can produce nonuniform local radiative and thermal dispersion properties at the front side of a wafer. Nonuniformities lead to local variations in annealing temperature and, therefore, to variability in device electrical properties and performance. This so-called pattern effect, well known in the context of lamp-based rapid thermal processing systems, is investigated here by simulation of the annealing process on the millisecond time scale. The multiphysics simulation models both optical and thermal properties. Real design inputs were imported from layout files and a finite element method simulation was used to compute transient temperature fields during the process. The absorptivities of a variety of periodic patterned structures were investigated by optical modeling. The radiative and thermal properties of the devices were mapped at the circuit scale. Finally, a three-dimensional thermal simulation was performed to evaluate the intradie thermal dispersions, which were found to be as large as 45°C in the real system.The submelt millisecond annealing process was introduced in the context of complementary metal-oxide semiconductor technology downscaling to enhance the activation of dopants. However, circuit designs can produce nonuniform local radiative and thermal dispersion properties at the front side of a wafer. Nonuniformities lead to local variations in annealing temperature and, therefore, to variability in device electrical properties and performance. This so-called pattern effect, well known in the context of lamp-based rapid thermal processing systems, is investigated here by simulation of the annealing process on the millisecond time scale. The multiphysics simulation models both optical and thermal properties. Real design inputs were imported from layout files and a finite element method simulation was used to compute transient temperature fields during the process. The absorptivities of a variety of periodic patterned structures were investigated by optical modeling. The radiative and thermal properties of...


IEEE Transactions on Semiconductor Manufacturing | 2010

Investigation of Pattern Effects in Rapid Thermal Processing Technology: Modeling and Experimental Results

F. Cacho; H. Bono; R. Beneyton; B. Dumont; R. Bianchini; Alexis Colin; Vincent Fiori; Pierre Morin

During rapid thermal processing, nonuniformity of local radiative properties in the wafer front side is now obviously identified to results in thermal dispersion at die scale. This leads to changes in annealing temperature and thus variabilities of electrical behavior and device performances. However, these detrimental contributors remain a hard job to manage. Indeed, both optical and thermal physics are involved, a wide range of scales plays role, and many modeling challenges must be faced to understand and solve such issues. In this study, absorptivity and emissivity of various periodic patterned structures are investigated by optical modeling. It is clearly demonstrated that diffraction plays an important role when gate width dimension or space between gates become small. Then, the radiative properties can be mapped at die scale and hence a thermal simulation can be performed. Our intra-die simulated thermal gradient is in good agreement with experimental results.


international conference on advanced thermal processing of semiconductors | 2008

Origin of local temperature variation during spike anneal and millisecond anneal

R. Beneyton; A. Colin; H. Bono; F. Cacho; M. Bidaud; B. Dumont; Pierre Morin; K. Barla

Local thermal variation occurring during light enhanced rapid thermal process (RTP) and millisecond anneals called “pattern effects” have various origin, with more or less impact as function of the used process. The main issues concern the variation of thermal conductivity and the variation of the light absorption by optical interference or diffraction effects. In this paper, a large panel of experiments is described in order to put in evidence the various root causes previously mentioned and their magnitudes are also determined as function of the used process. Experiments were done on full sheet wafer for all phenomena regarding stacked layers and specific patterned structure or full flow wafer are used to evaluate the impact of pattern on temperature variation. Theoretical computation by finite element methodology (FEM) allows a comparison with the experimental results. Thanks to all our results some ways for intradie dispersion reduction will be considered.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2014

Macroscopic and nanometer scale stress measurement of Ni(Pt)Si silicide: Impact of thermal treatments ranging from millisecond to several hours

Pierre Morin; R. Beneyton; Magali Gregoire; A. Pofelski; Laurent Clement; Shankar Muthukrishnan; Abhilash J. Mayur

The authors have measured and compared the stress in nickel silicide full sheet layers prepared with added platinum on (001) p-type Si wafers by using either a rapid thermal anneal (RTA) at 390 °C or a millisecond submelt laser dynamic scanning anneal (DSA) at 800 °C. The room temperature tensile stress of the silicide annealed with DSA is 1.65 GPa, whereas that of the silicide annealed with RTA at 390 °C is 800 MPa. Our analysis confirms that the origin of the stress lies in thermal expansion factors. Despite some small variations, the stress remains highly tensile in both layers after a 1 h post-treatment at 400 °C, with values of 1.4 GPa and 850 MPa for the DSA and RTA samples, respectively. The authors also performed strain measurements with dark field electron holography in the source drain region of 28 nm field complementary metal oxide semiconductor field effect transistors, under the silicide dot. They then determined the stress inside the silicide by combining the strain measurement with finite element mechanical simulations; values of 1.5 GPa and 600 MPa were found at the nanometer scale for the DSA and RTA samples, respectively, which are consistent with the macroscopic observations.


international conference on advanced thermal processing of semiconductors | 2010

Formation of titanium silicide by Millisecond Anneal

R. Beneyton; Pierre Morin; Shankar Muthukrishnan; D. Larmagnac; Abhilash J. Mayur; C. T. Richard

The development of the MilliSecond Anneals (MSA) technology allows the use of short dwell time coupled with a high peak temperature in order to significantly reduce the global thermal budget. These points are fundamental in the phase transformation occurring in silicide materials: the high temperature allows the phase change and the short dwell time reduces the materials ability to diffuse and create electrical shorts and leakage. The main application using MSA for silicide steps is performed on Nickel or Nickel Platinum alloy, used in advance CMOS technology as 40 nm node and below. In this work, the capability of the Titanium to be silicided during millisecond anneal is compared to the performance of Rapid Thermal Processing (RTP) which has a high thermal budget above 750 °C for few seconds. Full sheet sample are used to compare the phase formation of TiSi2 done either by RTP or by MSA process. The phase transition is followed by Rs measurement as function of the temperature and the created phases itself were characterised by XRD. The final goal of the study is to check the integration capability of Titanium silicide by MSA in a full process flow needing very low thermal budget.


Journal of Applied Physics | 2017

Impact of Laser Anneal on NiPt Silicide Texture and Chemical Composition

C. Feautrier; Ahmet S. Ozcan; Christian Lavoie; A. Valery; R. Beneyton; C. Borowiak; L. Clément; A. Pofelski; B. Salem

We have combined synchrotron X-ray pole figure measurements and transmission electron microscopy (TEM) nano-beam diffraction to study the impact of millisecond laser anneal on the texture and microstructure of NiPt silicide thin films. The powerful use of nano-beam diffraction in plan-view geometry allows here for both a mapping of grain orientation and intra-grain measurements even if these crystalline grains become very small. With this unique combination of local and large-scale probes, we find that silicide formation on n and p doped substrates using laser annealing results in smaller grains compared with the films processed using standard rapid thermal annealing. The laser annealed samples also result in grains that are more epitaxially oriented with respect to the Si substrate. For n-type substrate, the film is dominated by (020) and (013) oriented fibers with significant levels of intra-grain bending (transrotation) observed in both types of grains. For p-type substrates, mostly epitaxially aligned...


international interconnect technology conference | 2011

Millisecond annealing for salicide formation: Challenges of NiSi agglomeration free process

Magali Gregoire; R. Beneyton; Pierre Morin

Replacing conventional low temperature second rapid thermal anneal by a high temperature millisecond anneal has been introduced in the last technology node in order to improve device performance or reduce leakage. Highest temperature induce highest performance boost however this induces the destructing NiSi agglomeration phenomena. The influence of dopant, Si microstructure and NiSi phase have been deeply investigated to evaluate the best process condition.


international conference on microelectronics | 2009

Activation of shallow B and BF 2 implants in Si using Excimer laser annealing

Zahra Ait Fqir Ali-Guerry; Michel Marty; R. Beneyton; Norbert Moussy; Julien Venturini; Karim Huet; Guo-Neng Lu; Didier Dutartre

We have used laser thermal annealing (LTA) to activate shallow B and BF2 implants in p-type SOI wafers. Several characterization techniques have been employed in our investigations, such as SiPHER photoluminescence (PL) scans, Sheet resistance measurements (Rs), SIMS and AFM analyses. In sub-melt regime, there is no significant redistribution of implanted dopants; furthermore, BF2 implanted sample exhibits lower boron activation compared with B implanted one. In melt regime, a characteristic box-like doping profile appears, with a depth corresponding to the melting depth controllable by LTA energy setting. However, at a given annealing energy, BF2-implanted Si has a larger melting depth than the B-implanted one. In both cases, a dramatic enhancement in defect curing (PL Increase) and in dopants activation (Rs decrease) has been observed on melting. On the other hand, surface roughness is suddenly increased with the appearance of peaks in surface morphology around the melting threshold.


Microelectronic Engineering | 2010

Nickel silicide encroachment formation and characterization

B. Imbert; R. Pantel; S. Zoll; M. Gregoire; R. Beneyton; S. Del Medico; O. Thomas


Applied Surface Science | 2012

A comparison of the mechanical stability of silicon nitride films deposited with various techniques

Pierre Morin; Gaetan Raymond; Daniel Benoit; Patrick Maury; R. Beneyton

Collaboration


Dive into the R. Beneyton's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge