Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ramachandra Divakaruni is active.

Publication


Featured researches published by Ramachandra Divakaruni.


Ibm Journal of Research and Development | 2002

Challenges and future directions for the scaling of dynamic random-access memory (DRAM)

Jack A. Mandelman; Robert H. Dennard; Gary B. Bronner; John K. DeBrosse; Ramachandra Divakaruni; Ying Li; Carl J. Radens

Significant challenges face DRAM scaling toward and beyond the 0.10-µm generation. Scaling techniques used in earlier generations for the array-access transistor and the storage capacitor are encountering limitations which necessitate major innovation in electrical operating mode, structure, and processing. Although a variety of options exist for advancing the technology, such as low-voltage operation, vertical MOSFETs, and novel capacitor structures, uncertainties exist about which way to proceed. This paper discusses the interrelationships among the DRAM scaling requirements and their possible solutions. The emphasis is on trench-capacitor DRAM technology.


symposium on vlsi technology | 2007

High-performance high-κ/metal gates for 45nm CMOS and beyond with gate-first processing

Michael P. Chudzik; Bruce B. Doris; Renee T. Mo; Jeffrey W. Sleight; E. Cartier; C. Dewan; Dae-Gyu Park; Huiming Bu; W. Natzle; W. Yan; C. Ouyang; K. Henson; Diane C. Boyd; S. Callegari; R. Carter; D. Casarotto; Michael A. Gribelyuk; M. Hargrove; W. He; Young-Hee Kim; Barry P. Linder; Naim Moumen; Vamsi Paruchuri; J. Stathis; M. Steen; A. Vayshenker; X. Wang; Sufi Zafar; Takashi Ando; Ryosuke Iijima

Gate-first integration of band-edge (BE) high-κ/metal gate nFET devices with dual stress liners and silicon-on-insulator substrates for the 45nm node and beyond is presented. We show the first reported demonstration of improved short channel control with high-κ/metal gates (HK/MG) enabled by the thinnest Tinv (≪12Å) for BE nFET devices to-date, consistent with simulations showing the need for ≪14Å Tinv at Lgate≪35nm. We report the highest BE HK/MG nFET Idsat values at 1.0V operation. We also show for the first time BE high-κ/metal gate pFETs fabricated with gate-first high thermal budget processing with thin Tinv (≪13Å) and low Vts appropriate for pFET devices. The reliability in these devices was found to be consistent with technology requirements. Integration of high-κ/metal gate nFETs into CMOS devices yielded large SRAM arrays.


symposium on vlsi technology | 2014

A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI

Kang-ill Seo; Balasubramanian S. Haran; Dinesh Gupta; Dechao Guo; Theodorus E. Standaert; R. Xie; H. Shang; Emre Alptekin; D.I. Bae; Geum-Jong Bae; C. Boye; H. Cai; D. Chanemougame; R. Chao; Kangguo Cheng; Jin Cho; K. Choi; B. Hamieh; J. Hong; Terence B. Hook; L. Jang; J. E. Jung; R. Jung; Duck-Hyung Lee; B. Lherron; R. Kambhampati; Bum-Suk Kim; H. Kim; Kyu-Sik Kim; T. S. Kim

A 10nm logic platform technology is presented for low power and high performance application with the tightest contacted poly pitch (CPP) of 64nm and metallization pitch of 48nm ever reported in the FinFET technology on both bulk and SOI substrate. A 0.053um2 SRAM bit-cell is reported with a corresponding Static Noise Margin (SNM) of 140mV at 0.75V. Intensive multi-patterning technology and various self-aligned processes have been developed with 193i lithography to overcome optical patterning limit. Multi-workfunction (WF) gate stack has been enabled to provide Vt tunability without the variability degradation induced by channel dopants.


symposium on vlsi technology | 2012

High performance bulk planar 20nm CMOS technology for low power mobile applications

H. Shang; S. Jain; E. Josse; Emre Alptekin; M.H. Nam; Sae-jin Kim; K.H. Cho; Il-Goo Kim; Y. Liu; X. Yang; X. Wu; J. Ciavatti; N.S. Kim; R. Vega; L. Kang; H.V. Meer; Srikanth Samavedam; M. Celik; S. Soss; Henry K. Utomo; W. Lai; V. Sardesai; C. Tran; Jung-Geun Kim; Y.H. Park; W.L. Tan; T. Shimizu; R. Joy; J. Strane; K. Tabakman

In this paper, we present a high performance planar 20nm CMOS bulk technology for low power mobile (LPM) computing applications featuring an advanced high-k metal gate (HKMG) process, strain engineering, 64nm metal pitch & ULK dielectrics. Compared with 28nm low power technology, it offers 0.55X density scaling and enables significant frequency improvement at lower standby power. Device drive current up to 2X 28nm at equivalent leakage is achieved through co-optimization of HKMG process and strain engineering. A fully functional, high-density (0.081um2 bit-cell) SRAM is reported with a corresponding Static Noise Margin (SNM) of 160mV at 0.9V. An advanced patterning and metallization scheme based on ULK dielectrics enables high density wiring with competitive R-C.


international symposium on vlsi technology systems and applications | 1999

Array pass transistor design in trench cell for Gbit DRAM and beyond

Y. Li; Jack A. Mandelman; P. Parries; Y. Matsubara; Q. Ye; Rajesh Rengarajan; J. Alsmeier; B. Flietner; D. Wheeler; Hiroyuki Akatsu; Ramachandra Divakaruni; R. Mohler; K. Sunouchi; Gary B. Bronner; T.C. Chen

Aggressive scaling of the DRAM cell size requires minimum dimensions in both the channel length and the channel width of the array pass transistor. As a result of the stringent leakage current requirement, the design for the array MOSFET becomes increasingly challenging as cell size is reduced. In this paper, we present data that illustrate the importance of the channel and the source/drain engineering, along with considerations of minimizing the junction leakage. By utilizing a 512 k array diagnostic monitor, a methodology is presented for optimum array cell design in a statistically reliable manner. Design issues unique to the trench capacitor cell are covered. Alternative biasing schemes that boost the process window are also discussed.


symposium on vlsi technology | 2017

Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET

Nicolas Loubet; Terence B. Hook; Pietro Montanini; C.-W. Yeung; Sivananda K. Kanakasabapathy; M. Guillom; Tenko Yamashita; J. Zhang; X. Miao; Junli Wang; A. Young; Robin Chao; Min-Gu Kang; Zuoguang Liu; S. Fan; B. Hamieh; S. Sieg; Y. Mignot; W. Xu; Soon-Cheon Seo; Jae-yoon Yoo; Shogo Mochizuki; Muthumanickam Sankarapandian; Oh-Suk Kwon; A. Carr; Andrew M. Greene; Youn-sik Park; J. Frougier; Rohit Galatage; Ruqiang Bao

In this paper, for the first time we demonstrate that horizontally stacked gate-all-around (GAA) Nanosheet structure is a good candidate for the replacement of FinFET at the 5nm technology node and beyond. It offers increased Weff per active footprint and better performance compared to FinFET, and with a less complex patterning strategy, leveraging EUV lithography. Good electrostatics are reported at Lg=12nm and aggressive 44/48nm CPP (Contacted Poly Pitch) ground rules. We demonstrate work function metal (WFM) replacement and multiple threshold voltages, compatible with aggressive sheet to sheet spacing for wide stacked sheets. Stiction of sheets in long-channel devices is eliminated. Dielectric isolation is shown on standard bulk substrate for sub-sheet leakage control. Wrap-around contact (WAC) is evaluated for extrinsic resistance reduction.


symposium on vlsi technology | 2016

FINFET technology featuring high mobility SiGe channel for 10nm and beyond

Dechao Guo; Gauri Karve; Gen Tsutsui; K-Y Lim; Robert R. Robison; Terence B. Hook; R. Vega; Duixian Liu; S. Bedell; Shogo Mochizuki; Fee Li Lie; Kerem Akarvardar; M. Wang; Ruqiang Bao; S. Burns; V. Chan; Kangguo Cheng; J. Demarest; Jody A. Fronheiser; Pouya Hashemi; J. Kelly; J. Li; Nicolas Loubet; Pietro Montanini; B. Sahu; Muthumanickam Sankarapandian; S. Sieg; John R. Sporre; J. Strane; Richard G. Southwick

SiGe for channel material has been explored as a major technology element after the introduction of FINFET into CMOS technology [1-4]. Research on long channel FETs and discrete short channel FETs demonstrated benefits in mobility [1-4] and reliability [2]. Given the disruption that SiGe FIN brings, every aspect associated with SiGe FIN needs to be carefully studied towards technology insertion. In this paper, we report the latest SiGe-based FINFET CMOS technology development. CMOS FINFETs with Si-FIN nFET and SiGe-FIN pFET is demonstrated as a viable technology solution for both server and mobile applications at 10nm node and beyond.


symposium on vlsi technology | 2001

W/WN/poly gate implementation for sub-130 nm vertical cell DRAM

R. Malik; L. Clevenger; I. McStay; Oleg Gluschenkov; W. Robl; P. Shafer; G. Stojakovic; W. Yan; M. Naeem; K. Wong; J. Prakash; W. Kang; Y. Li; R. Vollertsen; A. Strong; W. Bergner; Ramachandra Divakaruni; G. Bronner

In this paper, we present the implementation of W/WN/poly gates in a 135 nm sub-8F2 vertical cell DRAM technology with dual gate oxide planar support transistors. Key features include low sheet resistance wordlines, high performance peripheral logic circuitry and a scalable memory cell array. A process flow detailing the decoupling of the array and support regions of the DRAM to achieve planar support transistors with L/sub eff/(nFET)<140 nm is discussed.


advanced semiconductor manufacturing conference | 2006

High Volume Manufacturing Ramp In 90nm Dual Stress Liner Technology

R. Gehres; R. Malik; R. Amos; Jeffrey Douglas Brown; S. Butt; A. Chan; C. Collins; B. Colwill; B. Davies; Allen H. Gabor; N. Le; P. Lindo; K. Mello; Eric Meyette; V. Nastasi; Jon A. Patrick; Amanda L. Piper; D. P. Prakash; T. Rust; Anthony Santiago; T. Su; R. van Roijen; Matthew J. Rutten; D. Slisher; B. Tessier; J. Tetzloff; D. Wehella-Gamage; Rich Wise; Q. Yang; Chienfan Yu

The ability to meet the demand for improved microprocessor performance is made difficult due to the simultaneous need not to increase power consumption. In order to meet these conflicting demands, IBM introduced a 90 nm dual stress liner CMOS technology to improve performance without increasing power consumption (Santiago et al., 2006). In IBMs 300 mm fab, this technology was introduced on multiple microprocessors, designed by different design groups with different architectures. These microprocessors, which were originally designed for a single liner technology, were optimized for systematic yield, power/performance; circuit limited yield (CLY), and random defect limited yield. The benefit of the dual stress liner technology is demonstrated in the power/performance characteristic of a dual core microprocessor and the successful technology ramp is demonstrated by yields of two microprocessors


symposium on vlsi technology | 2014

Bottom oxidation through STI (BOTS) - A novel approach to fabricate dielectric isolated FinFETs on bulk substrates

Kangguo Cheng; Soon-Cheon Seo; Johnathan E. Faltermeier; Darsen D. Lu; Theodorus E. Standaert; I. Ok; Ali Khakifirooz; R. Vega; T. Levin; J. Li; J. Demarest; C. Surisetty; D. Song; Henry K. Utomo; R. Chao; Hong He; Anita Madan; P. DeHaven; Nancy Klymko; Zhengmao Zhu; S. Naczas; Y. Yin; J. Kuss; A. Jacob; D.I. Bae; Kang-ill Seo; Walter Kleemeier; R. Sampson; Terence B. Hook; Balasubramanian S. Haran

We report a novel approach to enable the fabrication of dielectric isolated FinFETs on bulk substrates by bottom oxidation through STI (BOTS). BOTS FinFET transistors are manufactured with 42nm fin pitch and 80nm contacted gate pitch. Competitive device performances are achieved with effective drive currents of Ieff (N/P) = 621/453 μA/μm at Ioff = 10 nA/μm at VDD = 0.8 V. The BOTS process results in a sloped fin profile at the fin bottom (fin tail). By extending the gate vertically into the fin tail region, the parasitic short-channel effects due to this fin tail have been successfully suppressed. We further demonstrate the extension of the BOTS process to the fabrication of strained SiGe FinFETs and nanowires, providing a path for future CMOS technologies.

Researchain Logo
Decentralizing Knowledge