Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ryan Gary Kim is active.

Publication


Featured researches published by Ryan Gary Kim.


IEEE Transactions on Computers | 2016

Wireless NoC for VFI-Enabled Multicore Chip Design: Performance Evaluation and Design Trade-Offs

Ryan Gary Kim; Wonje Choi; Guangshuo Liu; Ehsan Mohandesi; Partha Pratim Pande; Diana Marculescu; Radu Marculescu

Multiple Voltage Frequency Island (VFI)-based designs can reduce the energy dissipation in multicore chips. Indeed, by tailoring the voltages and frequencies of each VFI domain, we can achieve significant energy savings subject to specific performance constraints. The achievable performance of VFI-based multicore platforms depends on the overall communication backbone, which relies predominantly on Networks-on-Chip (NoCs). Traditionally mesh-based NoCs have been used in VFI-based systems. However, the mesh-based NoCs have large latency and energy overheads due to their inherently long multihop paths. Emerging paradigms such as the millimeter (mm)-wave small-world wireless Networks-on-Chip (mSWNoCs) have lately been observed to help reduce the impact of the communication backbone on the performance of the multicore chips. In this work, we demonstrate that not only do mSWNoC-enabled VFI designs mitigate some of the full-system performance degradation inherent in VFI-partitioned multicore designs, but they also help in eliminating it entirely for certain applications. We also demonstrate that the VFI-partitioned designs used in conjunction with a novel NoC architecture like mSWNoC can achieve significant energy savings while minimizing the impact on the performance for each application under consideration.


IEEE Transactions on Very Large Scale Integration Systems | 2016

Wireless NoC and Dynamic VFI Codesign: Energy Efficiency Without Performance Penalty

Ryan Gary Kim; Wonje Choi; Zhuo Chen; Partha Pratim Pande; Diana Marculescu; Radu Marculescu

Multiple voltage frequency island (VFI)-based designs can reduce the energy dissipation in multicore platforms by taking advantage of the varying nature of the application workloads. Indeed, the voltage/frequency (V/F) levels of the VFIs can be dynamically tailored by considering the workload-driven variations in the application. Traditionally, mesh-based networks-on-chip (NoCs) have been used in VFI-based systems; however, they have large latency and energy overheads due to the inherently long multihop paths. Consequently, in this paper, we explore the emerging paradigm of wireless NoC (WiNoC) and demonstrate that by incorporating WiNoC, VFI, and dynamic V/F tuning in a synergistic manner, we can design energy-efficient multicore platforms without introducing noticeable performance penalty. Our experimental results show that for the benchmarks considered, the proposed approach can achieve between 5.7% and 46.6% energy-delay product (EDP) savings over the state-of-the-art system and 26.8% and 60.5% EDP savings over a standard baseline non-VFI mesh-based system. This opens up a new of class of codesign approaches that can make WiNoCs the communication technology of choice for future multicore platforms.


international symposium on quality electronic design | 2015

Enhancing performance of wireless NoCs with distributed MAC protocols

Karthi Duraisamy; Ryan Gary Kim; Partha Pratim Pande

Wireless NoC is an emerging paradigm to design high-bandwidth and energy-efficient communication backbones for massive multicore chips. The achievable performance of this type of on-chip interconnect infrastructure depends on the efficiency of the Media Access Control (MAC) protocol that arbitrates between the competing wireless nodes. In this work we propose the design of a distributed MAC protocol suitable for wireless NoC architectures. Compared to the widely used token passing scheme, a distributed MAC protocol improves scalability, provides better performance and lower overall energy dissipation. Depending on the traffic pattern, the proposed distributed MAC provides up to 23% improvement in energy delay product (EDP) when compared to the existing token passing scheme.


design, automation, and test in europe | 2014

Performance evaluation of wireless NoCs in presence of irregular network routing strategies

Paul Wettin; Jacob Murray; Ryan Gary Kim; Xinmin Yu; Partha Pratim Pande; Deukhyoun Heo

The millimeter (mm)-wave small-world wireless NoC (mSWNoC) is an enabling interconnect architecture to design high performance and low power multicore chips. As the mSWNoC has an overall irregular topology, it is extremely important to design suitable deadlock-free routing mechanisms for it. In this paper we quantify the latency, energy dissipation, and thermal profiles of mSWNoC architectures by incorporating irregular network routing strategies. We demonstrate that the latency, energy dissipation, and thermal profile are affected by the adopted routing methodologies. In presence of the benchmarks considered, the variation in latency and energy dissipation is small. However, the network hotspot temperature can vary considerably depending on the exact routing strategy and the characteristics of the benchmark.


compilers, architecture, and synthesis for embedded systems | 2014

Energy-efficient VFI-partitioned multicore design using wireless NoC architectures

Ryan Gary Kim; Guangshuo Liu; Paul Wettin; Radu Marculescu; Diana Marculescu; Partha Pratim Pande

In recent years, multiple Voltage Frequency Island (VFI)-based designs have increasingly made their way into both commercial and research multicore platforms. On the other hand, the wireless Network-on-Chip (WiNoC) architecture has emerged as an energy-efficient and high bandwidth communication backbone for massively integrated multicore platforms. It becomes therefore possible to exploit the small-world effects induced by the wireless links of a WiNoC to achieve efficient inter-VFI data exchanges. In this work, we demonstrate that WiNoCs can provide better latency and energy profiles compared to traditional mesh-like architecture for VFI-partitioned multicore designs. The performance gains and energy efficiency are achieved due to the low-power wireless shortcuts in conjunction with the small-world architecture. Indeed, our experimental results show energy improvements as large as 40% for multithreaded application benchmarks.


reversible computation | 2014

Performance Evaluation of Congestion-Aware Routing with DVFS on a Millimeter-Wave Small-World Wireless NoC

Jacob Murray; Ryan Gary Kim; Paul Wettin; Partha Pratim Pande; Behrooz A. Shirazi

The mm-wave small-world wireless NoC (mSWNoC) has emerged as an enabling interconnection infrastructure for designing high-bandwidth and energy-efficient multicore chips. In this mSWNoC architecture, long-range communication predominately takes place through the wireless shortcuts operating in the range of 10--100GHz, whereas short-range data exchange occurs through conventional metal wires. This results in performance advantages (lower latency and energy dissipation), mainly stemming from using the wireless links as long-range shortcuts between far-apart cores. The performance gain introduced by the wireless channels can be enhanced further if the wireline links of the mSWNoC are optimized according to the traffic patterns arising out of the application workloads. While there is significant energy savings, and hence temperature reduction, in the network due to the mSWNoC architecture, a load-imbalanced network is still susceptible to local temperature hotspots. In this work, we demonstrate that by incorporating congestion-avoidance routing with network-level dynamic voltage and frequency scaling (DVFS) in an mSWNoC, the power and thermal profiles can be improved without a significant impact on the overall network performance. In this work, we demonstrate how novel interconnect architectures enabled by the on-chip wireless links coupled with power management strategies can improve the energy and thermal characteristics of an mSWNoC significantly without introducing any performance degradation with respect to the conventional mesh-based NoC.


international symposium on quality electronic design | 2014

Thermal hotspot reduction in mm-Wave wireless NoC architectures

Jacob Murray; Paul Wettin; Ryan Gary Kim; Xinmin Yu; Partha Pratim Pande; Behrooz A. Shirazi; Deukhyoun Heo

In the design of high-performance massive multicore chips, power and temperature have become dominant constraints. Traditional multicore designs, based on the Network-on-Chip (NoC) paradigm, suffer from high latency and power dissipation as the system size scales up due to the inherent multi-hop nature of communication. Introducing long-range, low-power, and high-bandwidth wireless shortcuts between far apart cores can significantly enhance the performance of NoC fabrics. The millimeter-wave small-world NoC (mSWNoC) is shown to be capable of improving the overall latency and energy dissipation characteristics compared to the conventional mesh-based counterpart. While there is a significant temperature reduction in the network due to the mSWNoC architecture, a load-imbalanced network is still susceptible to local thermal hotspots. In this paper, we address the problem of network-induced temperature hotspots in mSWNoC by incorporating adaptive routing strategies, which can reduce temperatures even further without compromising the achievable performance benefits.


design automation conference | 2015

Energy efficient MapReduce with VFI-enabled multicore platforms

Karthi Duraisamy; Ryan Gary Kim; Wonje Choi; Guangshuo Liu; Partha Pratim Pande; Radu Marculescu; Diana Marculescu

In an era when power constraints and data movement are proving to be significant barriers for high-end computing, multicore architectures offer a low-power and highly scalable platform suitable for both data- and compute-intensive applications. MapReduce is a popular framework to facilitate the management and development of big-data workloads. In this work, we demonstrate that by using a wireless NoC-enabled Voltage Frequency Island (VFI)-based multicore platform it is possible to enhance the energy efficiency of MapReduce implementations without paying significant execution time penalties. Our experimental results show that for the benchmarks considered, the designed VFI system can achieve an average of 33.7% energy-delay product (EDP) savings over the standard baseline non-VFI mesh-based system while paying a maximum of 3.22% execution time penalty.


international midwest symposium on circuits and systems | 2015

Improving EDP in wireless NoC-enabled multicore chips via DVFS pruning

Wonje Choi; Shervin Hajiamin; Ryan Gary Kim; Armin Rahimi; Nillofar Hezarjaribi; Partha Pratim Pande; Behrooz A. Shirazi

The millimeter-wave small-world wireless NoC (mSWNoC) is shown to be capable of improving the overall latency and energy dissipation characteristics compared to the conventional wireline mesh-based counterpart. The mSWNoC helps in improving the energy dissipation even further in presence of dynamic voltage and frequency scaling (DVFS). On-chip voltage regulators are required to tune the voltage depending on the workload. Though it is possible to have multiple voltage levels by designing suitable on-chip regulators, certain voltage levels are underutilized for specific applications. Hence, unnecessary voltage levels should be pruned, reducing the design complexity of the on-chip voltage regulators. In certain circumstances, the pruned DVFS method improves the energy-delay product (EDP) compared to the fine-grained DVFS while still remaining within an acceptable performance boundary.


international conference on computer aided design | 2015

The (Low) Power of Less Wiring: Enabling Energy Efficiency in Many-Core Platforms Through Wireless NoC

Partha Pratim Pande; Ryan Gary Kim; Wonje Choi; Zhuo Chen; Diana Marculescu; Radu Marculescu

During the last decade, we have witnessed a major transition from computation- to communication-centric design of integrated circuits and systems. In particular, the network-on-chip (NoC) approach has emerged as the major design paradigm for multicore systems-on-chip (SoC). The major challenges in traditional wire-based NoCs are the high latency and power consumption of the multi-hop links. By inserting single-hop long-range wireless links in place of multi-hop wired links, the overall system performance can be significantly improved. We should adopt novel architectures inspired by the on-chip wireless links to design high-performance multi-core chips. In this regard, the small-world network-inspired wireless NoC (WiNoC) has emerged as an enabling interconnection infrastructure to design high-bandwidth and energy-efficient multicore chips. In this paper we present the various challenges and possible solutions for designing energy-efficient massive multicore chips enabled by the WiNoC paradigm.

Collaboration


Dive into the Ryan Gary Kim's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Diana Marculescu

Carnegie Mellon University

View shared research outputs
Top Co-Authors

Avatar

Radu Marculescu

Carnegie Mellon University

View shared research outputs
Top Co-Authors

Avatar

Paul Wettin

Washington State University

View shared research outputs
Top Co-Authors

Avatar

Wonje Choi

Washington State University

View shared research outputs
Top Co-Authors

Avatar

Behrooz A. Shirazi

Washington State University

View shared research outputs
Top Co-Authors

Avatar

Jacob Murray

Washington State University

View shared research outputs
Top Co-Authors

Avatar

Guangshuo Liu

Carnegie Mellon University

View shared research outputs
Top Co-Authors

Avatar

Deukhyoun Heo

Washington State University

View shared research outputs
Top Co-Authors

Avatar

Karthi Duraisamy

Washington State University

View shared research outputs
Researchain Logo
Decentralizing Knowledge