Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Sang-In Han is active.

Publication


Featured researches published by Sang-In Han.


Emerging Lithographic Technologies VII | 2003

Design and method of fabricating phase-shift masks for extreme-ultraviolet lithography by partial etching into the EUV multilayer mirror

Sang-In Han; Eric Weisbrod; Qianghua Xie; Pawitter J. S. Mangat; Scott Daniel Hector; William J. Dauksher

Phase Shift Masks (PSM) for Extreme Ultraviolet Lithography (EUVL) have the potential for extending the lithographic capability of EUVL beyond the 45-nm node. Typical PSM structures, such as for attenuated PSMs (Att-PSMs), are similar to those of binary masks in the sense that patterned structures of one or more layers of absorber (attenuator) are constructed on the EUV multilayer mirror to provide the correct amount of attenuation and phase shift. However, another type of PSM implemented by etching into the Mo/Si multilayers, rather than by adding lithographic structures on top of the Mo/Si multilayers (additive approach) can provide the required phase shift for both attenuated and hard PSMs. One of anticipated technical challenges, i.e. terminating ethcing at a specific depth with good surface uniformity can be sovled by employing an etch stop layer (ESL) embedded at a target depth inside the multilayer. In designing PSMs using this subtractive fabrication technique, the position and thickness of the ESL should be optimized, so that optical function of the multilayer substrate with embedded ESL should be same or close to when it does not have any embedded layer. According to simulation, the print bias for PSMs by etching into the multilayer stack to create the phase shift is smallest and near ideal compared to other types of PSMs or binary masks fabricated by conventional methods. The increase of depth of focus by 25-75% for contacts using an attenuated PSM and by 50-100% for lines using an ideal har dPSM is another lithographic advantage as well. The design and method of fabricating PSMs by etchign intothe multilayers is described, which include the optimziation of the thinkness and dpeth of the embedded layer. Experimental results of the multilayer etch process demonstrate initial feasibility of the subtractive approach to fabricating EUV PSMs.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Novel design of att-PSM structure for extreme-ultraviolet lithography and enhancement of image contrast during inspection

Sang-In Han; James R. Wasson; Pawitter J. S. Mangat; Jonathan L. Cobb; Kevin D. Lucas; Scott Daniel Hector

Attenuated Phase Shift Masks (Att-PSM) have been actively investigated and developed for conventional optical lithography to enhance the lithographic performance. In this paper, Att-PSM for Extreme Ultraviolet Lithography (EUVL) is compared to binary EUVL masks through simulation. Additionally, a new structural design for EUVL Att-PSM that is intended to enhance the image contrast during the inspection is also presented. Aerial image simulation for 50 and 35-nm wide contact holes was performed using an internally developed optical projection lithography simulator. Analysis of phase shift and attenuation for various combinations of Att-PSM layers was also done using an internally developed simulator based on optical multilayer thin film theory. The results of aerial image simulation agree with previously published results in that Att-PSM for EUVL provide steeper edge profile and higher peak intensity compared to the binary EUVL mask. These enhanced aerial images provide greater exposure latitude and 28 percent to 80 percent greater depth of focus for Att-PSM compared to binary masks for printing contacts. The simulations were also used to set initial targets for phase and reflectance control of the PSM stack for 35-nm contacts. Mean reflectance between 3 and 6 percent and phase of 180 +/- 10 degrees result in significantly larger DOF than for binary masks. The prototype structure simulated for an EUVL Att-PSM consists of an upper dielectric layer (SiON) and a lower metal layer (TaN or Cr) on top of Mo/Si multilayer mirror. With this dual layer scheme, satisfying the optical requirements is easier than with a single layer structure because accurate control of phase shift and attenuation is possible by controlling the thickness of both the upper and lower layers. Obtaining the desired phase shift and transmission using a single layer is difficult. The advantage of having a dielectric (SiON) top layer is that the thickness of dielectric layer can be optimized to enhance the image contrast at inspection wavelength (normally DUV) as well as to provide the desired phase shift at exposure wavelength (13.4-13.5nm). Another advantage of Att-PSM for EUVL is the reduced height of patterned structure on the multilayer mirror which provides a relative advantage in resolution by reducing the image blur caused by the shadowing effects of the taller absorber stack.


Emerging Lithographic Technologies VIII | 2004

Development of phase shift masks for extreme ultraviolet lithography and optical evaluation of phase shift materials

Sang-In Han; Eric Weisbrod; James R. Wasson; R. B. Gregory; Qianghua Xie; Pawitter J. S. Mangat; Scott Daniel Hector; William J. Dauksher; Kristine M. Rosfjord

Phase Shift Masks (PSM) for Extreme Ultraviolet Lithography (EUVL) have the potential for extending the lithographic capability of EUVL beyond the 32-nm node. The concept of EUV PSM structures can be implemented either by adding absorber structures on top of the Mo/Si multilayers (additive approach) or by partial etching into the multilayers (subtractive approach). Among many technical challenges, evaluating optical constants of absorber materials is the most important issue particularly for PSM by the additive approach (PSM-ADD), while the etch stop capability and the etch selectivity with vertical sidewall profile are the main technical challenges for PSM fabricated by the subtractive method (PSM-SUB). For fast turn around of PSM development, the indirect optical constant evaluation through material analysis is a useful metrology technique. The optical constant of TaSiN extracted from Rutherford Backscattering Spectroscopy (RBS) data agrees well with that obtained from the direct measurement by transmission interferometric technique. For PSM-SUB, the concept of embedding B4C and NiFe etch stop layer (ESL) is verified by measuring reflectivity of the ESL embedded substrates and demonstrating good etch stop capability.


23rd Annual BACUS Symposium on Photomask Technology | 2003

EUV radiation damage test on EUVL mask absorber materials

Bing Lu; James R. Wasson; Sang-In Han; Pawitter J. S. Mangat; Victoria Golovkina; F. Cerrina

We have studied the EUV mask absorber stack materials stability under extended EUV radiation exposure using the Synchrotron facility located at Aladdin Synchrotron Radiation Center of UW-Madison. The DUV reflectivity was measured at the area where the absorber stack was exposed to EUV radiation for different period of time to understand the impact on mask inspection during use and potential radiation damage. The longest exposure time simulated 2 million EUV exposure shots based on the resist sensitivity of 5 mJ/cm2. After EUV radiation, a significant increase in DUV reflectivity was observed. However, this change may be due to the hydrocarbon contamination from the EUV exposure chamber because an obvious darkening was observed on the exposed area and could be easily removed by a short O2 plasma etching. The experimental data showed that reflectivity was restored after O2 plasma etching and the difference was less than 2%. X-ray photoelectron spectroscopy (XPS) and forward recoil spectrometry also used for the confirmation of hydrocarbon build up during exposure.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Integration of Anti-reflection Coatings on EUV Absorber Stacks

James R. Wasson; Sang-In Han; N.V. Edwards; Eric Weisbrod; William J. Dauksher; Pawitter J. S. Mangat; Donald W. Pettibone

Extreme ultraviolet lithography (EUVL) is the leading candidate for next generation lithography with the potential for extendibility beyond the 50-nm node. The inspection contrast of DUV and 193nm optical reticles is essentially 100%; however, EUVL reticles are reflective in nature and do not allow for transmissive inspection. The Mo/Si multilayer (ML) mirror has a reflectivity of 55-60% with 257nm illumination. The reflectivity of the multilayer at the inspection wavelength dictates that the patterned areas of the mask must be dark to achieve high inspection contrast (i.e., 0% reflectivity at the inspection wavelength). Furthermore, the reticle should retain the same tone during the pre-repair stage and the final reticle stage to allow reuse of inspection algorithms and easier defect repair verification. The use of an anti-reflection coating (ARC) on a TaN absorber has been shown . This article will describe additional options for a wide range of anti-reflection coatings and their impact on the design and fabrication of the EUV absorber stack. Both experimental and modeling results will be presented for different absorber stack configurations.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Recovery of Mo/Si multilayers coated LTEM substrate

Pawitter J. S. Mangat; A. Talin; Andrew F. Hooper; Diana Convey; Sang-In Han; James R. Wasson

Extreme ultraviolet lithography (EUVL) is a leading next generation lithography technology. The mask blank for EUVL consists of a low thermal expansion material substrate having a square photomask form factor that is coated with Mo/Si multilayers. One of the challenges in implementing EUVL is to economically fabricate multilayer-coated mask blanks with no printable defects. The starting substrates, due to the required tight specifications of flatness and defects, might have a very high manufacturing cost and hence a method to recover these substrates for reuse without compromising the properties will enable a lower cost for the masks. This paper details a potential approach to remove the damaged multilayers from the substrates without compromising the morphology and characteristics of the starting substrate. Furthermore, the process is applicable to optical elements of the EUV projection optics system that have reflective Mo/Si mirrors of various shapes.


20th Annual BACUS Symposium on Photomask Technology | 2001

Fabrication process and transmission characteristics of SCALPEL mask blanks with thin SiNx membranes

Sang-In Han; Pawitter J. S. Mangat; William J. Dauksher; Michael Chor; James Alexander Liddle; Anthony E. Novembre

The SCALPEL Scattering with Angular Limitation Projection Electron Beam Lithography) mask technology is based on the electron-scattering properties of the patterned scatterer film present on the thin membrane material. Thus, the membrane material that supports the scatterer patterns should be highly transmissive, leading to more efficient utilization of source energy and reduced thermal management demands in the system, particularly in the areas above the mask. However, the fabrication of SCALPEL masks with thin membranes has been one of the most key challenges in process development. Even though 100-nm thick SiNx is currently employed as the standard thickness for SCALPEL masks, there has been a drive to explore the membrane yield for thinner SiNx films. Through optimization of the LPCVD SiNx process, we have demonstrated the fabrication of SCALPEL mask membranes with approximately 50nm thick SiNx with a repeatable yield. The measured experimental electron transmission data agrees well with the calculation from a theoretical model.


Archive | 2002

Reflective mask useful for transferring a pattern using extreme ultraviolet (EUV) radiation and method of making the same

Sang-In Han; Scott Daniel Hector; Pawitter J. S. Mangat


Archive | 2002

Method of forming a pattern on a semiconductor wafer using an attenuated phase shifting reflective mask

Pawitter J. S. Mangat; Sang-In Han


Archive | 2001

Method of making an integrated circuit using a reflective mask

Sang-In Han; Pawitter J. S. Mangat; James R. Wasson; Scott Daniel Hector

Collaboration


Dive into the Sang-In Han's collaboration.

Researchain Logo
Decentralizing Knowledge