Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Scott Daniel Hector is active.

Publication


Featured researches published by Scott Daniel Hector.


Journal of Vacuum Science & Technology B | 2001

Review of progress in extreme ultraviolet lithography masks

Scott Daniel Hector; Pawitter J. S. Mangat

Extreme ultraviolet lithography (EUVL) is a leading next generation lithography technology. Significant progress has been made in developing mask fabrication processes for EUVL. The mask blank for EUVL consists of a low thermal expansion material substrate having a square photomask form factor that is coated with Mo/Si multilayers. SEMI standards are being developed for mask substrates and mounting. Several commercial suppliers are developing polishing processes for LTEM substrates, and they are progressing toward meeting the requirements for flatness, surface roughness, and defects defined in the a draft SEMI standard. One of the challenges in implementing EUVL is to economically fabricate multilayer-coated mask blanks with no printable defects. Significant progress has been made in developing mask blank multilayer coating processes with low added defect density. Besides lowering the added defect density, methods to reduce defect printability, such as defect compensation and buffer layer smoothing, are b...


Journal of Vacuum Science & Technology B | 1991

A new approach to high fidelity e‐beam and ion‐beam lithography based on an in situ global‐fiducial grid

Henry I. Smith; Scott Daniel Hector; Mark L. Schattenburg; Erik H. Anderson

The distortion‐free scan field of an electron‐beam or ion‐beam lithography system is generally quite small (∼104×104 beam addresses) and hence to achieve pattern fidelity over large areas laser‐interferometer‐controlled stages are employed. Because the laser interferometer monitors the stage, not the electron or ion beam, beam drift of thermal, mechanical, electrostatic, magnetic, or electronic origin is not accounted for, leading to pattern placement error. To overcome this fundamental problem of ‘‘dead reckoning’’ we propose a new approach in which a global‐fiducial reference grid, which does not disturb the writing process, is put directly on the substrate. The grid is scanned with sufficiently low areal dose that the subsequent pattern development is not adversely affected. This can be achieved by ‘‘sparse sampling’’ of the grid over the entire scan field in conjunction with phase‐locking technqiues in the time domain. In this way one can spatially phase lock the two grids together and thereby ensure ...


Journal of Vacuum Science & Technology B | 1999

Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

Gregory Frank Cardinale; Craig C. Henderson; John E. M. Goldsmith; Pawitter J. S. Mangat; Jonathan L. Cobb; Scott Daniel Hector

In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50–90 nm thick) and was subsequently exposed to EUV radiation using a 10× reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85°) sidewalls, employed a HBr/Cl chemistry with a large (>1...


Lawrence Berkeley National Laboratory | 2001

System integration and performance of the EUV engineering test stand

Daniel A. Tichenor; Avijit K. Ray-Chaudhuri; William C. Replogle; Richard H. Stulen; Glenn D. Kubiak; Paul D. Rockett; Leonard E. Klebanoff; Karen L. Jefferson; Alvin H. Leung; John B. Wronosky; Layton C. Hale; Henry N. Chapman; John S. Taylor; James A. Folta; Claude Montcalm; Regina Soufli; Kenneth L. Blaedel; Gary E. Sommargren; Donald W. Sweeney; Patrick P. Naulleau; Kenneth A. Goldberg; Eric M. Gullikson; Jeffrey Bokor; Phillip J. Batson; David T. Attwood; Keith H. Jackson; Scott Daniel Hector; Charles W. Gwyn; Pei-Yang Yan; P. Yan

The Engineering Test Stand (ETS) is a developmental lithography tool designed to demonstrate full-field EUV imaging and provide data for commercial-tool development. In the first phase of integration, currently in progress, the ETS is configured using a developmental projection system, while fabrication of an improved projection system proceeds in parallel. The optics in the second projection system have been fabricated to tighter specifications for improved resolution and reduced flare. The projection system is a 4-mirror, 4x-reduction, ring-field design having a numeral aperture of 0.1, which supports 70 nm resolution at a k1 of 0.52. The illuminator produces 13.4 nm radiation from a laser-produced plasma, directs the radiation onto an arc-shaped field of view, and provides an effective fill factor at the pupil plane of 0.7. The ETS is designed for full-field images in step-and-scan mode using vacuum-compatible, magnetically levitated, scanning stages. This paper describes system performance observed during the first phase of integration, including static resist images of 100 nm isolated and dense features.


SPIE's 27th Annual International Symposium on Microlithography | 2002

EUVL masks: requirements and potential solutions

Scott Daniel Hector

Significant progress has been made in developing mask fabrication processes for extreme ultraviolet lithography (EUVL). The mask blank for EUVL consists of a low thermal expansion material substrate having a square photomask form factor that is coated with Mo/Si multilayers. A SEMI standard is now available for mask substrates. SEMI standards are also being developed for mask mounting, for mask blank multilayers and absorbers and for mask handling and storage. Several commercial suppliers are developing polishing processes for LTEM substrates, and they are progressing toward meeting the requirements for flatness, surface roughness, and defects. Significant progress has been made in developing mask blank multilayer coating processes with low added defect density. Besides lowering added defect density, methods to reduce defect printability are being developed to effectively enable repair of many defect types. Calculations of EUVL mask cost indicate that defect repair processes could increase yield of EUV mask blanks and allow initial defect density targets for mask blanks to be relaxed. The mask patterning process for EUVL is nearly the same as that for conventional binary optical lithography masks. Eight absorbers have been evaluated, and two absorbers-TaN and Cr--will probably meet the requirements after some further development.


Journal of Vacuum Science & Technology B | 1999

Extreme ultraviolet lithography mask patterning and printability studies with a Ta-based absorber

Pawitter J. S. Mangat; Scott Daniel Hector; Matthew A. Thompson; William J. Dauksher; Jonathan L. Cobb; Kevin D. Cummings; David P. Mancini; Douglas J. Resnick; Gregory Frank Cardinale; Craig C. Henderson; P. Kearney; M. Wedowski

Extreme ultraviolet (EUV) lithography masks were fabricated using a stack of TaSi or TaSiN (absorber), SiON (repair buffer), and Cr (conductive etch stop) on a Mo/Si multilayer mirror deposited on a Si wafer. High-resolution structures were exposed using a commercial i-line resist, and the pattern was transferred using both electron cyclotron resonance and reactive ion etching with halogen-based gases. Process temperatures to fabricate these reticles were always maintained below 150 °C. EUV properties after patterning were measured using a synchrotron source reflectometer. Completed masks exhibited a negligible shift in the peak wavelength and less than 2% loss in reflectivity due to processing. Qualified masks were exposed with a 10× EUV exposure system. The exposures were made in 80-nm-thick DUV resist and with numerical apertures (NA) of 0.08, 0.088, and 0.1. Resolution down to 70 nm equal lines and spaces was achieved at a NA of 0.1. Line edge roughness in the resist features was 5.5 nm (3σ, one side)...


Emerging Lithographic Technologies VII | 2003

Design and method of fabricating phase-shift masks for extreme-ultraviolet lithography by partial etching into the EUV multilayer mirror

Sang-In Han; Eric Weisbrod; Qianghua Xie; Pawitter J. S. Mangat; Scott Daniel Hector; William J. Dauksher

Phase Shift Masks (PSM) for Extreme Ultraviolet Lithography (EUVL) have the potential for extending the lithographic capability of EUVL beyond the 45-nm node. Typical PSM structures, such as for attenuated PSMs (Att-PSMs), are similar to those of binary masks in the sense that patterned structures of one or more layers of absorber (attenuator) are constructed on the EUV multilayer mirror to provide the correct amount of attenuation and phase shift. However, another type of PSM implemented by etching into the Mo/Si multilayers, rather than by adding lithographic structures on top of the Mo/Si multilayers (additive approach) can provide the required phase shift for both attenuated and hard PSMs. One of anticipated technical challenges, i.e. terminating ethcing at a specific depth with good surface uniformity can be sovled by employing an etch stop layer (ESL) embedded at a target depth inside the multilayer. In designing PSMs using this subtractive fabrication technique, the position and thickness of the ESL should be optimized, so that optical function of the multilayer substrate with embedded ESL should be same or close to when it does not have any embedded layer. According to simulation, the print bias for PSMs by etching into the multilayer stack to create the phase shift is smallest and near ideal compared to other types of PSMs or binary masks fabricated by conventional methods. The increase of depth of focus by 25-75% for contacts using an attenuated PSM and by 50-100% for lines using an ideal har dPSM is another lithographic advantage as well. The design and method of fabricating PSMs by etchign intothe multilayers is described, which include the optimziation of the thinkness and dpeth of the embedded layer. Experimental results of the multilayer etch process demonstrate initial feasibility of the subtractive approach to fabricating EUV PSMs.


Emerging lithographic technologies. Conference | 1999

Thermal management of EUV lithography masks using low-expansion glass substrates

Steven E. Gianoulakis; Avijit K. Ray-Chaudhuri; Scott Daniel Hector

Lithographic masks must maintain dimensional stability during exposure in a wafer stepper. In extreme UV lithography, multilayer coatings are deposited on a flat mask, substrate to make the mask surface reflective at EUV wavelengths. About 40 percent of the incident EUV radiation is absorbed by the multilayer coatings causing a temperature rise. The choice of mask substrate material affects dimensional stability due to thermal expansion and/or deformation. Finite element modeling has ben used to investigate the proper choice of mask substrate material and to explore the efficacy of various thermal management strategies. This modeling indicates that significant machine design and engineering challenges are necessary in order to employ Si as a mask substrate. Even if these challenges can be met, the thermal expansion of Si is likely to be too large to meet overlay error budgets for lithography at ground rules beyond the 100 nm technology node. ULE - a single phase, fused silica glass doped with titania - has near zero thermal expansion at the temperatures where EUV lithography is performed. Due to its small coefficient of thermal expansion, ULE does not undergo appreciable instantaneous or transient thermal expansion that results in image placement error.


Journal of Vacuum Science & Technology B | 1992

Modeling and experimental verification of illumination and diffraction effects on image quality in x‐ray lithography

Scott Daniel Hector; Mark L. Schattenburg; Erik H. Anderson; William Chu; Vincent V. Wong; Henry I. Smith

Most analyses of the effects of diffraction and source coherence on image quality in proximity x‐ray lithography have used Kirchhoff boundary conditions and scalar diffraction theory. In this article we treat the x‐ray absorber as a lossy dielectric and employ the vector form of Maxwell’s equations to calculate image intensity as a function of position for lines, spaces, and gratings, at 100 nm linewidths and below. We show that vector and scalar theories give different results. Simulations are done for two point sources (CuL and an Fe‐plasma) so that calculations could be compared with our experimental results. Agreement was excellent for 80 and 50 nm features at relatively large gaps. We define image contrast and show that, contrary to common intuition, it is enhanced (and spurious ringing is suppressed) as penumbral blurring is increased, reaching a maximum when the extent of blurring is somewhat larger than the minimum feature size.


Microelectronic Engineering | 2003

Critical dimension control in optical lithography

Sergei V. Postnikov; Scott Daniel Hector; C. Garza; Richard D. Peters; V. Ivin

The exposure tool used for integrated circuit (IC) fabrication is critical to improving the packing density and transistor speed of the circuits. In addition to increasing resolution, which improves packing density and transistor speed, the exposure tool is also expected to provide tight linewidth control across the chip. Across chip linewidth variation (ACLV) has a significant influence on circuit speed. The allowed ACLV is usually assumed to be about 10% of the nominal linewidth. Therefore, just a few nanometers in linewidth variation may significantly impact IC performance. Contributions to the CD variation across chip and wafer due to lithographic sources of error are discussed in this paper. CD control afforded by future optical lithography tools is estimated using Monte Carlo aerial image simulations by making reasonable assumptions about the performance of the future tools and mask CD control. The impact of reticle enhancement technologies on ACLV is evaluated. The main sources of CD error can be identified. This approach will help define the path to improving CD control. The technique described was tested using data from the current generation of technology, and reasonable agreement between predicted and observed CD variation was obtained.

Collaboration


Dive into the Scott Daniel Hector's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Patrick A. Kearney

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar

John S. Taylor

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Christopher C. Walton

Lawrence Livermore National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge