Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Pawitter J. S. Mangat is active.

Publication


Featured researches published by Pawitter J. S. Mangat.


Journal of Vacuum Science & Technology B | 2001

Review of progress in extreme ultraviolet lithography masks

Scott Daniel Hector; Pawitter J. S. Mangat

Extreme ultraviolet lithography (EUVL) is a leading next generation lithography technology. Significant progress has been made in developing mask fabrication processes for EUVL. The mask blank for EUVL consists of a low thermal expansion material substrate having a square photomask form factor that is coated with Mo/Si multilayers. SEMI standards are being developed for mask substrates and mounting. Several commercial suppliers are developing polishing processes for LTEM substrates, and they are progressing toward meeting the requirements for flatness, surface roughness, and defects defined in the a draft SEMI standard. One of the challenges in implementing EUVL is to economically fabricate multilayer-coated mask blanks with no printable defects. Significant progress has been made in developing mask blank multilayer coating processes with low added defect density. Besides lowering the added defect density, methods to reduce defect printability, such as defect compensation and buffer layer smoothing, are b...


Journal of Vacuum Science & Technology B | 1999

Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

Gregory Frank Cardinale; Craig C. Henderson; John E. M. Goldsmith; Pawitter J. S. Mangat; Jonathan L. Cobb; Scott Daniel Hector

In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50–90 nm thick) and was subsequently exposed to EUV radiation using a 10× reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85°) sidewalls, employed a HBr/Cl chemistry with a large (>1...


Journal of Vacuum Science & Technology B | 2004

Image placement issues for ITO-based step and flash imprint lithography templates

Kevin J. Nordquist; Eric S. Ainley; David P. Mancini; William J. Dauksher; Kathy A. Gehoski; J. H. Baker; Douglas J. Resnick; Z. Masnyj; Pawitter J. S. Mangat

Step and flash imprint lithography (SFIL) is an attractive, low-cost method for printing sub-100 nm geometries. The imprint process is performed at low pressures and room temperature, which minimizes magnification and distortion errors. Since SFIL is a 1× lithography technology, the template will require precise image placement in order to meet overlay specifications for multiple level device fabrication. In order to simplify the template fabrication process and facilitate post fabrication scanning-electron-microscope-based inspection, an integrated charge dissipation layer, such as indium tin oxide (ITO), is desired that is transparent to the SFIL exposure wavelength. The use of low-stress dielectric films such as SiON for the image relief layer minimizes the pattern distortions (<9 nm, mean+3σ) that occur after the pattern transfer process. Although ITO uniformity was also significantly improved by switching the ITO deposition process to an MRC sputter deposition system, image placement results were adv...


Journal of Vacuum Science & Technology B | 2001

Cr absorber etch process for extreme ultraviolet lithography mask fabrication

K. H. Smith; J. R. Wasson; Pawitter J. S. Mangat; William J. Dauksher; Douglas J. Resnick

Reactive ion etching (RIE) and inductively coupled plasma (ICP) Cr etch processes have been evaluated for fabrication of extreme ultraviolet lithography masks on 200 mm substrates. Experiments were completed to optimize the Cr etch rate, etch rate uniformity, and Cr to resist selectivity for both etch processes. The best ICP process was found to have superior etch rate, etch rate uniformity, and comparable Cr to resist selectivity to the RIE process. The effect of exposed Cr area on the Cr to resist selectivity, critical dimension (CD) bias, and CD bias 3σ was also investigated. A decrease in exposed Cr area from 95% to 7% was found to dramatically increase the Cr etch rate, leading to an increase in the Cr to resist selectivity. Lower Cr loading was also found to decrease the CD bias and CD bias 3σ. The average CD bias was very high for both processes, with the ICP etch process having a higher CD bias (143 nm) than the RIE process (89 nm). The CD bias uniformity was significantly lower for the ICP proces...


Journal of Vacuum Science & Technology B | 1999

Extreme ultraviolet lithography mask patterning and printability studies with a Ta-based absorber

Pawitter J. S. Mangat; Scott Daniel Hector; Matthew A. Thompson; William J. Dauksher; Jonathan L. Cobb; Kevin D. Cummings; David P. Mancini; Douglas J. Resnick; Gregory Frank Cardinale; Craig C. Henderson; P. Kearney; M. Wedowski

Extreme ultraviolet (EUV) lithography masks were fabricated using a stack of TaSi or TaSiN (absorber), SiON (repair buffer), and Cr (conductive etch stop) on a Mo/Si multilayer mirror deposited on a Si wafer. High-resolution structures were exposed using a commercial i-line resist, and the pattern was transferred using both electron cyclotron resonance and reactive ion etching with halogen-based gases. Process temperatures to fabricate these reticles were always maintained below 150 °C. EUV properties after patterning were measured using a synchrotron source reflectometer. Completed masks exhibited a negligible shift in the peak wavelength and less than 2% loss in reflectivity due to processing. Qualified masks were exposed with a 10× EUV exposure system. The exposures were made in 80-nm-thick DUV resist and with numerical apertures (NA) of 0.08, 0.088, and 0.1. Resolution down to 70 nm equal lines and spaces was achieved at a NA of 0.1. Line edge roughness in the resist features was 5.5 nm (3σ, one side)...


Emerging Lithographic Technologies VII | 2003

Design and method of fabricating phase-shift masks for extreme-ultraviolet lithography by partial etching into the EUV multilayer mirror

Sang-In Han; Eric Weisbrod; Qianghua Xie; Pawitter J. S. Mangat; Scott Daniel Hector; William J. Dauksher

Phase Shift Masks (PSM) for Extreme Ultraviolet Lithography (EUVL) have the potential for extending the lithographic capability of EUVL beyond the 45-nm node. Typical PSM structures, such as for attenuated PSMs (Att-PSMs), are similar to those of binary masks in the sense that patterned structures of one or more layers of absorber (attenuator) are constructed on the EUV multilayer mirror to provide the correct amount of attenuation and phase shift. However, another type of PSM implemented by etching into the Mo/Si multilayers, rather than by adding lithographic structures on top of the Mo/Si multilayers (additive approach) can provide the required phase shift for both attenuated and hard PSMs. One of anticipated technical challenges, i.e. terminating ethcing at a specific depth with good surface uniformity can be sovled by employing an etch stop layer (ESL) embedded at a target depth inside the multilayer. In designing PSMs using this subtractive fabrication technique, the position and thickness of the ESL should be optimized, so that optical function of the multilayer substrate with embedded ESL should be same or close to when it does not have any embedded layer. According to simulation, the print bias for PSMs by etching into the multilayer stack to create the phase shift is smallest and near ideal compared to other types of PSMs or binary masks fabricated by conventional methods. The increase of depth of focus by 25-75% for contacts using an attenuated PSM and by 50-100% for lines using an ideal har dPSM is another lithographic advantage as well. The design and method of fabricating PSMs by etchign intothe multilayers is described, which include the optimziation of the thinkness and dpeth of the embedded layer. Experimental results of the multilayer etch process demonstrate initial feasibility of the subtractive approach to fabricating EUV PSMs.


Emerging lithographic technologies. Conference | 1999

Ultrathin photoresists for EUV lithography

Veena Rao; Jonathan L. Cobb; Craig C. Henderson; Uzodinma Okoroanyanwu; Dan R. Bozman; Pawitter J. S. Mangat; Robert L. Brainard; Joseph F. Mackevich

The strong attenuation of EUV radiation in organic materials has necessitated the use of a thin layer imaging (TLI) resist for lithographic patterning. We have studied several TLI processes for EUV and found the use of an ultra-thin single layer resist (UTR) over a hardmask is a plausible resist system. We have developed new EUV resist system based on DUV chemical approaches. These EUV resist pattern features as small as 70 nm L/S and 70 nm isolated features. The UTR process shows high sensitivity and low line edge roughness compared to other thin layer imaging resists processes such as top-surface imaging. The advantage of these UTR resists is the current familiarity in the industry with processing and materials development. We have also ben able to address one of the main concerns surrounding such thin resists, and we have found they are sufficient to pattern the hard mask with enough resist remaining.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Critical Dimension and Image Placement Issues for Step and Flash Imprint Lithography Templates

Kevin J. Nordquist; David P. Mancini; William J. Dauksher; Eric S. Ainley; Kathleen A. Gehoski; Douglas J. Resnick; Zorian S. Masnyj; Pawitter J. S. Mangat

Step and Flash Imprint Lithography (SFIL) is an attractive low-cost method for printing sub-100 nm geometries. Relative to other imprinting processes, SFIL has the advantage that the template is transparent thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, which minimizes magnification and distortion errors. Since SFIL is a 1X lithography technique, the template masks will require very good layer-to-layer overlay accuracy for multiple level device fabrication. To fabricate a transparent SFIL template, processing techniques familiar to existing binary phase shift mask fabrication are utilized. However, in order to fabricate the sub-100 nm features necessary for SFIL templates, thinner resist and chromium are necessary. Initial resolution tests have resulted in features sizes down to ~20 nm with the non-chemically amplified resist, ZEP520. Template to template overlay of <15 nm (mean + 3σ) can be achieved if the template fabrication procedure consists of a single 1” template exposed in the center of a 6” × 6” × 0.25” quartz blank.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Inspection of EUV reticles

Donald W. Pettibone; Andrei Veldman; Ted Liang; Alan R. Stivers; Pawitter J. S. Mangat; Bing Lu; Scott Daniel Hector; James R. Wasson; Kenneth L. Blaedel; Emily Fisch; David M. Walker

This paper presents the results of patterned and unpatterned EUV mask inspections. We will show inspection results related to EUV patterned mask design factors that affect inspection tool sensitivity, in particular, EUV absorber material reflectivity, and EUV buffer layer thickness. We have used a DUV (257nm) inspection system to inspect patterned reticles, and have achieved defect size sensitivities on patterned reticles of approximately 80 nm. We have inspected EUV substrates and blanks with a UV (364nm) tool with a 90nm to a 120 nm PSL sensitivity, respectively, and found that defect density varies markedly, by factors of 10 and more, from sample to sample. We are using this information in an ongoing effort to reduce defect densities in substrates and blanks to the low levels that will be needed for EUV lithography. While DUV tools will likely meet the patterned inspection requirements of the 70 nm node in terms of reticle defect sensitivity, wavelengths shorter than 200 nm will be required to meet the 50 nm node requirements. This research was sponsored in part by NIST-ATP under KLA-Tencor Cooperative Agreement #70NANB8H44024.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Novel design of att-PSM structure for extreme-ultraviolet lithography and enhancement of image contrast during inspection

Sang-In Han; James R. Wasson; Pawitter J. S. Mangat; Jonathan L. Cobb; Kevin D. Lucas; Scott Daniel Hector

Attenuated Phase Shift Masks (Att-PSM) have been actively investigated and developed for conventional optical lithography to enhance the lithographic performance. In this paper, Att-PSM for Extreme Ultraviolet Lithography (EUVL) is compared to binary EUVL masks through simulation. Additionally, a new structural design for EUVL Att-PSM that is intended to enhance the image contrast during the inspection is also presented. Aerial image simulation for 50 and 35-nm wide contact holes was performed using an internally developed optical projection lithography simulator. Analysis of phase shift and attenuation for various combinations of Att-PSM layers was also done using an internally developed simulator based on optical multilayer thin film theory. The results of aerial image simulation agree with previously published results in that Att-PSM for EUVL provide steeper edge profile and higher peak intensity compared to the binary EUVL mask. These enhanced aerial images provide greater exposure latitude and 28 percent to 80 percent greater depth of focus for Att-PSM compared to binary masks for printing contacts. The simulations were also used to set initial targets for phase and reflectance control of the PSM stack for 35-nm contacts. Mean reflectance between 3 and 6 percent and phase of 180 +/- 10 degrees result in significantly larger DOF than for binary masks. The prototype structure simulated for an EUVL Att-PSM consists of an upper dielectric layer (SiON) and a lower metal layer (TaN or Cr) on top of Mo/Si multilayer mirror. With this dual layer scheme, satisfying the optical requirements is easier than with a single layer structure because accurate control of phase shift and attenuation is possible by controlling the thickness of both the upper and lower layers. Obtaining the desired phase shift and transmission using a single layer is difficult. The advantage of having a dielectric (SiON) top layer is that the thickness of dielectric layer can be optimized to enhance the image contrast at inspection wavelength (normally DUV) as well as to provide the desired phase shift at exposure wavelength (13.4-13.5nm). Another advantage of Att-PSM for EUVL is the reduced height of patterned structure on the multilayer mirror which provides a relative advantage in resolution by reducing the image blur caused by the shadowing effects of the taller absorber stack.

Collaboration


Dive into the Pawitter J. S. Mangat's collaboration.

Researchain Logo
Decentralizing Knowledge