Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Sebastian U. Engelmann is active.

Publication


Featured researches published by Sebastian U. Engelmann.


international electron devices meeting | 2009

High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling

Sarunya Bangsaruntip; Guy M. Cohen; Amlan Majumdar; Y. Zhang; Sebastian U. Engelmann; Nicholas C. M. Fuller; Lynne M. Gignac; Surbhi Mittal; J. Newbury; M. Guillorn; Tymon Barwicz; Lidija Sekaric; Martin M. Frank; Jeffrey W. Sleight

We demonstrate undoped-body, gate-all-around (GAA) Si nanowire (NW) MOSFETs with excellent electrostatic scaling. These NW devices, with a TaN/Hf-based gate stack, have high drive-current performance with NFET/PFET I<inf>DSAT</inf> = 825/950 µA/µm (circumference-normalized) or 2592/2985 µA/µm (diameter-normalized) at supply voltage V<inf>DD</inf> = 1 V and off-current I<inf>OFF</inf> = 15 nA/µm. Superior NW uniformity is obtained through the use of a combined hydrogen annealing and oxidation process. Clear scaling of short-channel effects versus NW size is observed.


symposium on vlsi technology | 2010

Gate-all-around silicon nanowire 25-stage CMOS ring oscillators with diameter down to 3 nm

Sarunya Bangsaruntip; Amlan Majumdar; Guy M. Cohen; Sebastian U. Engelmann; Y. Zhang; M. Guillorn; Lynne M. Gignac; Surbhi Mittal; W. Graham; Eric A. Joseph; David P. Klaus; Josephine B. Chang; E. Cartier; Jeffrey W. Sleight

We demonstrate the worlds first top-down CMOS ring oscillators (ROs) fabricated with gate-all-around (GAA) silicon nanowire (NW) FETs having diameters as small as 3 nm. NW capacitance shows size dependence in good agreement with that of a cylindrical capacitor. AC characterization shows enhanced self-heating below 5 nm.


Journal of Vacuum Science and Technology | 2014

Fluorocarbon assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma

Dominik Metzler; Robert L. Bruce; Sebastian U. Engelmann; Eric A. Joseph; G. S. Oehrlein

The authors studied the effect of the temperature and chemical state of the chamber wall on process performance for atomic layer etching of SiO2 using a steady-state Ar plasma, periodic injection of a defined number of C4F8 molecules, and synchronized plasma-based Ar+ ion bombardment. To evaluate these effects, the authors measured the quartz coupling window temperature. The plasma gas phase chemistry was characterized using optical emission spectroscopy. It was found that although the thickness of the polymer film deposited in each cycle is constant, the etching behavior changed, which is likely related to a change in the plasma gas phase chemistry. The authors found that the main gas phase changes occur after C4F8 injection. The C4F8 and the quartz window react and generate SiF and CO. The emission intensity changes with wall surface state and temperature. Therefore, changes in the plasma gas species generation can lead to a shift in etching performance during processing. During initial cycles, minimal etching is observed, while etching gradually increases with cycle number.


Journal of Vacuum Science & Technology B | 2007

Plasma-surface interactions of model polymers for advanced photoresists using C4F8∕Ar discharges and energetic ion beams

Sebastian U. Engelmann; Robert L. Bruce; T. Kwon; R. J. Phaneuf; G. S. Oehrlein; Y. C. Bae; C. Andes; David B. Graves; D. Nest; E. A. Hudson; P. Lazzeri; E. Iacob; M. Anderle

Plasma based transfer of photoresist (PR) patterns into underlying films and substrates is basic to micro- and nanofabrication but can suffer from excessive surface and line edge roughness in the photoresist and resulting features. The authors have studied the interaction of a set of adamantyl methacrylate-based model polymers with fluorocarbon∕Ar discharges and energetic Ar+ ion beams. Through systematic variation of the polymer structure, the authors were able to clarify the contributions of several critical polymer components on the chemical and morphological modifications in the plasma environment. Etching rates and surface chemical and morphological changes for the model polymers and fully formulated 193 and 248nm photoresists were determined by ellipsometry, atomic force microscopy, time of flight static secondary ion mass spectrometry, and x-ray photoelectron spectroscopy. The polymer structure in the near surface region (∼10nm) of all materials is destroyed within the first seconds of exposure to a fluorocarbon∕Ar plasma. The plasma-induced changes include destruction of polymeric structure in the near surface region and oxygen and hydrogen loss along with fluorination. For the 193nm PR material, the initial densification of the near surface region was followed by the introduction of pronounced surface roughness. This change was not seen for 248nm PR processed under identical conditions. When comparing the responses of different polymer materials, the authors observed a strong dependence of plasma-induced surface chemical and morphological changes on polymer structure. In particular, the adamantane group of 193nm PR showed poor stability under plasma exposure. On the other hand, the plasma-induced changes for polymer resins with or without the low molecular weight chemicals required to make the photoresist system photoactive did not differ significantly. The behavior of the same materials during energetic argon ion beam bombardment was also investigated. No significant differences in etch yield and surface roughness evolution for the different materials were seen in that case.Plasma based transfer of photoresist (PR) patterns into underlying films and substrates is basic to micro- and nanofabrication but can suffer from excessive surface and line edge roughness in the photoresist and resulting features. The authors have studied the interaction of a set of adamantyl methacrylate-based model polymers with fluorocarbon∕Ar discharges and energetic Ar+ ion beams. Through systematic variation of the polymer structure, the authors were able to clarify the contributions of several critical polymer components on the chemical and morphological modifications in the plasma environment. Etching rates and surface chemical and morphological changes for the model polymers and fully formulated 193 and 248nm photoresists were determined by ellipsometry, atomic force microscopy, time of flight static secondary ion mass spectrometry, and x-ray photoelectron spectroscopy. The polymer structure in the near surface region (∼10nm) of all materials is destroyed within the first seconds of exposure to ...


Applied Physics Letters | 2008

Synergistic effects of vacuum ultraviolet radiation, ion bombardment, and heating in 193nm photoresist roughening and degradation

D. Nest; David B. Graves; Sebastian U. Engelmann; Robert L. Bruce; F. Weilnboeck; G. S. Oehrlein; C. Andes; Eric Hudson

The roles of ultraviolet/vacuum ultraviolet (UV/VUV) photons, Ar+ ion bombardment and heating in the roughening of 193nm photoresist have been investigated. Atomic force microscopy measurements show minimal surface roughness after UV/VUV-only or ion-only exposures at any temperature. Simultaneous UV/VUV, ion bombardment, and heating to surface temperatures of 60–100°C result in increased surface roughness, and is comparable to argon plasma-exposed samples. Ion bombardment creates a modified near-surface layer while UV/VUV radiation results in loss of carbon-oxygen bonds up to a depth of ∼100nm. Enhanced roughness is only observed in the presence of all three effects.


Journal of Vacuum Science & Technology B | 2009

Study of ion and vacuum ultraviolet-induced effects on styrene- and ester-based polymers exposed to argon plasma

Robert L. Bruce; Sebastian U. Engelmann; T. Lin; T. Kwon; R. J. Phaneuf; G. S. Oehrlein; Brian K. Long; C. G. Willson; J. J. Végh; D. Nest; David B. Graves; A. Alizadeh

Plasma-polymer interactions are important for the purpose of etching, deposition, and surface modification in a wide range of different fields. An Ar discharge from an inductively coupled plasma reactor was used to determine the factors in a simple plasma that control etch and surface roughness behavior for three styrene-based and three ester-based model polymers. The authors compared the etch behavior of polymers in Ar plasma discharges with low and high energy ions by changing the substrate bias, compared cooled and elevated substrate temperature conditions, and compared fully plasma-exposed conditions and vacuum ultraviolet (vuv)-only conditions by employing a magnesium fluoride window to prevent ion bombardment in the vuv-only case. It was found that ions, vuv radiation, and temperature all had significant impact on the etch behavior of polymers. The dependence of polymer structure on etch and surface roughness was also compared. Polymers with styrene and ester side groups were compared and polymers w...


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2010

Photoresist modifications by plasma vacuum ultraviolet radiation: The role of polymer structure and plasma chemistry

F. Weilnboeck; Robert L. Bruce; Sebastian U. Engelmann; G. S. Oehrlein; D. Nest; Ting-Ying Chung; David B. Graves; M. Li; Deyan Wang; Cecily Andes; Eric Hudson

While vacuum ultraviolet (VUV) photon irradiation has been shown to significantly contribute to material modifications of polymers during plasma exposures, the impact of radiation-induced material alterations on roughness development during plasma processing has remained unclear. The authors have studied the interaction of the radiation of Ar and C4F8/Ar plasma discharges with 193 and 248 nm advanced photoresists (PRs). Optical filters were used to vary the radiation exposure wavelength range in the ultraviolet (UV) and VUV emission spectra. This enables clarification of the respective roles of plasma photon radiation wavelength and PR polymer structure on the chemical and structural changes produced in the materials. Chemical changes in polymer composition at the film surface and in the material bulk were determined by vacuum transfer x-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. Morphological changes, film thickness reduction, and changes in surface and pattern morphology...


international electron devices meeting | 2013

Density scaling with gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond

Sarunya Bangsaruntip; K. Balakrishnan; S.-L Cheng; Josephine B. Chang; Markus Brink; Isaac Lauer; Robert L. Bruce; Sebastian U. Engelmann; A. Pyzyna; Guy M. Cohen; Lynne M. Gignac; Chris M. Breslin; J. Newbury; David P. Klaus; Amlan Majumdar; Jeffrey W. Sleight; M. Guillorn

We present results from gate-all-around (GAA) silicon nanowire (SiNW) MOSFETs fabricated using a process flow capable of achieving a nanowire pitch of 30 nm and a scaled gate pitch of 60 nm. We demonstrate for the first time that GAA SiNW devices can be integrated to density targets commensurate with CMOS scaling needs of the 10 nm node and beyond. In addition, this work achieves the highest performance for GAA SiNW NFETs at a gate pitch below 100 nm.


Journal of Applied Physics | 2012

The effects of vacuum ultraviolet radiation on low-k dielectric films

H. Sinha; H. Ren; M. T. Nichols; J. L. Lauer; M. Tomoyasu; N. M. Russell; G. Jiang; G. A. Antonelli; N. C. Fuller; Sebastian U. Engelmann; Q. Lin; V. Ryan; Yoshio Nishi; J. L. Shohet

Plasmas, known to emit high levels of vacuum ultraviolet (VUV) radiation, are used in the semiconductor industry for processing of low-k organosilicate glass (SiCOH) dielectric device structures. VUV irradiation induces photoconduction, photoemission, and photoinjection. These effects generate trapped charges within the dielectric film, which can degrade electrical properties of the dielectric. The amount of charge accumulation in low-k dielectrics depends on factors that affect photoconduction, photoemission, and photoinjection. Changes in the photo and intrinsic conductivities of SiCOH are also ascribed to the changes in the numbers of charged traps generated during VUV irradiation. The dielectric-substrate interface controls charge trapping by affecting photoinjection of charged carriers into the dielectric from the substrate. The number of trapped charges increases with increasing porosity of SiCOH because of charge trapping sites in the nanopores. Modifications to these three parameters, i.e., (1) VU...


Journal of Applied Physics | 2008

Molecular dynamics simulations of near-surface modification of polystyrene: Bombardment with Ar+ and Ar+/radical chemistries

J. J. Végh; D. Nest; David B. Graves; Robert L. Bruce; Sebastian U. Engelmann; Taesoon Kwon; R. J. Phaneuf; G. S. Oehrlein; Brian K. Long; C. G. Willson

Molecular dynamics (MD) simulations have been carried out to examine the effects of Ar+, Ar+/H, and Ar+/F bombardment of a model polystyrene (PS) surface. For bombardment with 100 eV Ar+ only, the simulations show the formation of a heavily cross-linked dehydrogenated damaged layer in the near-surface region after some initial fluence, consistent with plasma and beam system experimental results. The 1–2 nm thick amorphous carbon-rich modified layer has a much lower sputter yield compared to that of the virgin PS, which has a H:C ratio of 1. Simultaneous bombardment of the damaged dehydrogenated PS layer with 300 K H or F radicals and 100 eV Ar+ can facilitate the removal of the layer as well as inhibit its initial formation. The development of the steady-state dehydrogenated layer under Ar+-only bombardment results from a competition between the breaking of carbon-hydrogen bonds (which leads to dehydrogenation and subsequent cross-linking) and the breaking of carbon-carbon bonds (which leads to sputtering...

Researchain Logo
Decentralizing Knowledge