Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Susumu Oogi is active.

Publication


Featured researches published by Susumu Oogi.


Japanese Journal of Applied Physics | 2007

High-Accuracy Proximity Effect Correction for Mask Writing

Takayuki Abe; Yoshiaki Hattori; Tomohiro Iijima; Hirohito Anze; Susumu Oogi; Takashi Kamikubo; Seiichi Tsuchiya; Mitsuko Shimizu; Kazuto Matsuki; Hideo Inoue; Toru Tojo; Tadahiro Takigawa

A high-accuracy proximity effect correction method for high-precision masks has been developed to satisfy current and future requirements. In this paper, we explain the primary features of this method and the theories on which it is based. The developed formula for obtaining the optimum correction dose is expressed in the form of either iterations or an infinite series of functions. The advantage of this formula is that it quickly converges to the sought value, bringing about high-accuracy proximity effect correction with a high calculation speed. A coarse graining method (covering pattern density and representative figure methods) for reducing calculation time is explained. This method has been adopted for an EX-11 series and has been used for mask writing from the 180 nm design rule onward.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Advanced electron-beam writing system EX-11 for next-generation mask fabrication

Toru Tojo; Ryoji Yoshikawa; Yoji Ogawa; Shuichi Tamamushi; Yoshiaki Hattori; Souji Koikari; Hideo Kusakabe; Takayuki Abe; Munehiro Ogasawara; Kiminobu Akeno; Hirohito Anze; Kiyoshi Hattori; Ryoichi Hirano; Shusuke Yoshitake; Tomohiro Iijima; Kenji Ohtoshi; Kazuto Matsuki; Naoharu Shimomura; Noboru Yamada; Hitoshi Higurashi; Noriaki Nakayamada; Yuuji Fukudome; Shigehiro Hara; Eiji Murakami; Takashi Kamikubo; Yasuo Suzuki; Susumu Oogi; Mitsuko Shimizu; Shinsuke Nishimura; Hideyuki Tsurumaki

Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.


Japanese Journal of Applied Physics | 2007

Global Critical Dimension Correction: I. Fogging Effect Correction

Takayuki Abe; Junichi Suzuki; Jun Yashima; Tomohiro Iijima; Susumu Oogi; Hirohito Anze; Yoshiaki Onimaru; Hideyuki Tsurumaki; Seiichi Tsuchiya; Yoshiaki Hattori

Present LSI technology requires very strict critical dimension (CD) control on masks. An electron beam (EB) mask writer has been widely used but is known to induce a fogging effect that affects CD control. In this paper, a new formula for calculating the optimum dose required to correct both the fogging effect and the proximity effect is proposed. This formula is expressed as the product of the proximity effect and fogging effect correction terms. Features of this new formula are that (1) the fogging effect correction term includes the result of the proximity effect correction, and (2) the formula can provide an accurate value for the optimum dose required to correct both the proximity and fogging effects. Correction accuracy is evaluated under the conditions that the proximity effect parameter η and the fogging effect parameter θ are 0.8 and 0.1, respectively. It is found that when using a conventional fogging effect correction method, a significant correction error (1.7% in energy and 3.4 nm in dimension) appears because the method does not use the results of the proximity effect correction. On the other hand, our method can suppress the correction error to less than 0.15% in energy (0.29 nm in dimension).


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Electron Beam Mask Writer EBM-7000 for Hp 32nm Generation

Takashi Kamikubo; Kenji Ohtoshi; Noriaki Nakayamada; Rieko Nishimura; Hitoshi Sunaoshi; Kiminobu Akeno; Soichiro Mitsui; Yuichi Tachikawa; Hideo Inoue; Susumu Oogi; Hitoshi Higurashi; Akinori Mine; Takiji Ishimura; Seiichi Tsuchiya; Yoshitada Gomi; Hideki Matsui; Shuichi Tamamushi

Optical lithography is facing resolution limit. To overcome this issue, highly complicated patterns with high data volume are being adopted for optical mask fabrications. With this background, new electron beam mask writing system, EBM- 7000 is developed to satisfy requirements of hp 32nm generation. Electron optical system with low aberrations is developed to resolve finer patterns like 30nm L/S. In addition, high current density of 200 A/cm2 is realized to avoid writing time increase. In data path, distributed processing system is newly built to handle large amounts of data efficiently. The data processing speed of 500MB/s, fast enough to process all the necessary data within exposure time in parallel for hp32nm generation, is achieved. And this also makes it possible to handle such large volume dense data as 2G shots/mm2 local pattern density. In this paper, system configuration of EBM-7000 with accuracy data obtained are presented.


international microprocesses and nanotechnology conference | 1997

Proximity Effect Correction For Electron Beam Lithography: Highly Accurate Correction Method

Takashi Kamikubo; Takayuki Abe; Susumu Oogi; Hiroto Anze; Mitsuko Shimizu; Masamitsu Itoh; Tetsuro Nakasugi; Tadahiro Takigawa; Tomohiro Iijima; Yoshiaki Hattori; Toru Tojo

A new formula for proximity effect correction is discussed. The formula is represented by a series expansion. When infinite terms are used, the formula gives accurate optimum correction doses. The correction accuracy of the new formula is evaluated for the worst case scenario and compared with the conventional formula. It is shown that (1) the new formula suppresses correction errors to less than 0.5% for the deposited energy and (2) dimensional errors are less than 4 nm, even if only the first 3 terms are calculated for critical patterns. By using the new formula, the proximity effect correction can be carried out with sufficient accuracy, even for making reticles of 1 Gbit or higher-capacity DRAMs.


international microprocesses and nanotechnology conference | 1998

High-Speed Convolution System For Real-Time Proximity Effect Correction

Susumu Oogi; Takiji Ishimura; Takashi Kamikubo; Mitsuko Shimizu; Yoshiaki Hattori; Tomohiro Iijima; Hirohito Anze; Takayuki Abe; Toru Tojo; Tadahiro Takigawa

In order to realize a real-time proximity effect correction system, a high-speed, highly accurate hardware system for convolution calculation has been developed. The representative figure method is used in the system. Pipeline architecture and parallel processing architecture are also used. The calculation speed of the system is 500 s for a writing region of 10 ×10 cm. The optimum correction dose has been evaluated using the output data of the convolution system. The error in the correction dose caused by our system is found to be 0.5% at most. These results suggest that a real-time proximity effect correction system can be realized, which can be used for making reticles of Gbit-class dynamic ramdom access memories (DRAMs).


Photomask and x-ray mask technology. Conference | 1997

Proximity effect correction for reticle fabrication

Takashi Kamikubo; Takayuki Abe; Susumu Oogi; Hirohito Anze; Mitsuko Shimizu; Masamitsu Itoh; Tetsuro Nakasugi; Tomohiro Iijima; Yoshiaki Hattori

Proximity effect correction is a key technology for fabricating reticles by electron beam writing systems. To write patterns of 1 Gbit or higher-capacity DRAMs, the dimensional accuracy required for the correction is better than about 10 nm. Conventional methods do not have sufficient accuracy at the position where pattern density changes sharply. We propose a new correction method with higher accuracy for various patterns and show that we can achieve corrections accurate to about 5 nm.


Archive | 1997

Charged particle beam writing method for determining optimal exposure dose prior to pattern drawing

Takayuki Abe; Susumu Oogi; Takashi Kamikubo; Hirohito Anze


Archive | 1999

Charged beam lithography system

Mitsuko Shimizu; Takayuki Abe; Hirohito Anze; Susumu Oogi; Takashi Kamikubo; Eiji Murakami; Yoshiaki Hattori; Tomohiro Iijima; Hitoshi Higurashi; Kazuto Matsuki


Archive | 2012

CHARGED PARTICLE BEAM LITHOGRAPHY APPARATUS AND CHARGED PARTICLE BEAM LITHOGRAPHY METHOD

Susumu Oogi; Hitoshi Higurashi; Akihito Anpo; Toshiro Yamamoto

Collaboration


Dive into the Susumu Oogi's collaboration.

Researchain Logo
Decentralizing Knowledge