Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tatsunori Murata is active.

Publication


Featured researches published by Tatsunori Murata.


Japanese Journal of Applied Physics | 2007

Local Bonding Structure of High-Stress Silicon Nitride Film Modified by UV Curing for Strained Silicon Technology beyond 45 nm Node SoC Devices

Yoshihiro Miyagawa; Tatsunori Murata; Yukio Nishida; Takehiro Nakai; Akira Uedono; Nobuyoshi Hattori; Masazumi Matsuura; Koyu Asai; Masahiro Yoneda

Silicon nitride films (p-SiN) with different high stresses were formed by changing the monosilane-to-ammonia source gas ratio, RF power, and deposition temperature in a conventional plasma-enhanced chemical vapor deposition (PECVD). PECVD was used to deposit p-SiN films with high-stresses because it can flexibly change the stress of the film to be formed from tensile to compressive direction. The formed films were analyzed by Fourier transform-infrared spectroscopy (FT-IR), X-ray photoelectron spectroscopy (XPS), nanoindentation, and positron-beam annihilation to obtain data on local bonding structure, mechanical properties and the behavior of vacancies in the p-SiN films. In this study, to clarify the local bonding structure of high stress SiN films, we investigated p-SiN films with and without ultraviolet (UV) curing that is effective in tensile stress. It has been confirmed that total hydrogen (Si–H+N–H) concentration decreases with increasing film stress of p-SiN films. It has been found that UV curing promotes Si–N–Si crosslinking due to dehydrogenization, leading to the formation of a stoichiometric silicon nitride, Si3N4, network structure, and the vacancies in the p-SiN films shrink during UV curing. Finally, we proposed a structural model for the local bonding arrangement in p-SiN films with UV curing.


Japanese Journal of Applied Physics | 2007

Advanced Air Gap Process for Multi-Level-Cell Flash Memories Reducing Threshold Voltage Interference and Realizing High Reliability

Keisuke Tsukamoto; Tatsunori Murata; Tatsuya Fukumura; Fumihito Ohta; Takayuki Yoshitake; Satoshi Shimizu; Yoshihiro Ikeda; Koyu Asai; Masahiro Shimizu; Osamu Tsuchiya

As the cell size of flash memories is scaled down, the reading error due to threshold voltage (Vth) interference has become a more serious problem, particularly in the case of multi-level-cell (MLC) flash memories, it is necessary for the Vth distribution to be narrower than that of the single-level-cell (SLC). In this work, we propose an advanced air gap structure and process to reduce the interference due to the capacitance between floating gate and floating gate. By applying an air gap between neighboring floating gates as a lowest-dielectric-constant material, we can suppress Vth interference markedly. In addition, we clarified the correlation between the air gap forming process and the memory cell reliability. Hydrogen included in the SiO2 film, which is deposited by plasma-enhanced chemical vapor deposition (CVD) during air gap formation causes the degradation of memory cell endurance and the de-trapping characteristics. We were able to achieve a high-reliability memory cell by reducing the hydrogen concentration in the SiO2 by optimizing the deposition process for air gap formation.


Japanese Journal of Applied Physics | 2008

Highly Reliable Cu Interconnect Using Low-Hydrogen Silicon Nitride Film Deposited at Low Temperature as Cu-Diffusion Barrier

Tatsunori Murata; Kazushi Kono; Yoshikazu Tsunemine; Masahiko Fujisawa; Masazumi Matsuura; Koyu Asai; Masayuki Kojima

We demonstrated highly reliable Cu interconnects using a high-quality silicon nitride film grown at temperatures below 300 °C. The low-temperature silicon nitride (LT-SiN) film, which was used as a Cu-diffusion barrier layer and a final passivation layer, was deposited at 275 °C by plasma-enhanced chemical vapor deposition at a low SiH4 flow ratio. The low SiH4 flow ratio was due to the use of a highly dilute nitrogen flow, leading to the generation of many nitrogen radicals or ions in the plasma. These radicals or ions might reduce the hydrogen concentration and defect density of the film. As a result, a stoichiometric silicon nitride (Si3N4) film with a low hydrogen concentration was successfully obtained. By applying this LT-SiN film in 130-nm-node Cu interconnects for magnetoresistive random access memory, highly reliable via-hole electromigration (Via-EM) and line-to-line time-dependent dielectric breakdown (TDDB) characteristics were obtained.


Japanese Journal of Applied Physics | 2010

Low-Temperature Silicon Oxide Offset Spacer Using Plasma-Enhanced Atomic Layer Deposition for High-k/Metal Gate Transistor

Tatsunori Murata; Yoshihiro Miyagawa; Yukio Nishida; Yoshiki Yamamoto; Tomohiro Yamashita; Masazumi Matsuura; Koyu Asai; Hiroshi Miyatake

We have investigated the characteristics of silicon oxide films deposited by plasma-enhanced atomic layer deposition (PEALD) and plasma-enhanced chemical vapor deposition (PECVD) as offset spacer films of high-k/metal gate stacks. From the results of bonding structure analysis, the silicon oxide film deposited by PEALD has been found to be composed of a Si–O bond network of the stoichiometric silicon oxide film. On the other hand, the silicon oxide film deposited by PECVD is considered to contain suboxide bond structures. From the results of physical and mechanical evaluations, the silicon oxide film deposited by PEALD exhibits a lower wet etch rate, a higher film density, a lower dielectric constant, a smaller amount of water in the film, and a higher elastic modulus than that deposited by PECVD. PEALD showed excellent thickness controllability. From these results, the silicon oxide film deposited by PEALD has higher quality and is more suitable for use as an offset spacer than that deposited by PECVD. X-ray photoelectron spectroscopy showed that the surface oxidation of a titanium nitride film, which is used as a metal gate electrode, during PEALD can be suppressed by using a lower PEALD temperature. Finally, we have demonstrated that the drain current of a high-k/metal gate transistor with a silicon oxide offset spacer deposited by PEALD is markedly increased, compared with that with a high-temperature-deposited silicon oxide offset spacer.


Japanese Journal of Applied Physics | 2009

Effect of NH3-Free Silicon Nitride for Protection Layer of Magnetic Tunnel Junction on Magnetic Properties of Magnetoresistive Random Access Memory

Tatsunori Murata; Yoshihiro Miyagawa; Ryuichiro Isaki; Toshinori Shibata; Ryoji Matsuda; Mikio Tsujiuchi; Yosuke Takeuchi; Shuichi Ueno; Masazumi Matsuura; Koyu Asai; Masayuki Kojima

The effects of plasma and precursors during low-temperature silicon nitride (LT-SiN) film deposition on the magnetic properties of a CoFeB alloy layer, which is one magnetic material in a magnetic tunnel junction (MTJ) in magnetoresistive random access memory (MRAM), were investigated. The NH3 plasma exposure was found to nitride the CoFeB alloy layer, resulting in degradation of the magnetic properties of the CoFeB alloy layer. To suppress this degradation, NH3-free LT-SiN films deposited using silane and nitrogen source gases with helium or argon dilution in a conventional plasma enhanced chemical vapor deposition (PECVD) apparatus were evaluated. The LT-SiN film deposited under conditions of a highly dilute helium flow in the SiH4–N2–He gas mixture exhibited high density, sufficient moisture-blocking ability, and low leakage current. On the other hand, the film deposited at the SiH4–N2–Ar gas mixture exhibited poor film qualities. It is revealed that helium gas has enhanced the generation of N2 radicals and the decomposition of silane gas during the deposition of the SiH4–N2–He gas mixture. Finally, we demonstrated that the electrical properties of 8-kbit MRAM arrays have been improved by using the optimized NH3-free LT-SiN film for the MTJ-protection layer.


Japanese Journal of Applied Physics | 2010

Effect of N2 Gas Flow Ratio in Plasma-Enhanced Chemical Vapor Deposition with SiH4–NH3–N2–He Gas Mixture on Stress Relaxation of Silicon Nitride

Tatsunori Murata; Yoshihiro Miyagawa; Masazumi Matsuura; Koyu Asai; Hiroshi Miyatake

The effects of N2 gas flow ratios in silicon nitride deposition with SiH4–NH3–N2–He gas mixtures at a temperature of 275 °C on stress relaxation have been investigated. We have demonstrated that film stress can be controlled in the range from -692 MPa (compression) to 170 MPa (tension) by increasing N2 gas flow ratio. From the evaluation of the composition ratio of N/Si, film density, and bonding structure, the relationships between film stress and these properties are investigated. The amount of nitrogen incorporated into the film as N–H bonds increased with increasing N2 flow ratio, resulting in a higher composition ratio of N/Si. At a higher N2 gas flow ratio, excess N2 gas in the plasma may disturb the ion bombardment of ionized species on the film surface, resulting in a decrease in the film density. The higher N2 gas flow ratio leads to the generation of a Si–N bonding structure with a larger bond angle at the nitrogen atom site due to bond-strain relaxation, leading to a higher frequency of Si–N stretching vibration. Therefore, a nitrogen-richer SiN film with many N–H bonds and a lower film density exhibits bonding structures with a lower bond strain, leading to the relief of film stress.


Archive | 2007

Method of manufacturing a semiconductor integrated circuit device having a columnar laminate

Tatsunori Murata; Takahiro Nakamura; Yasumichi Suzuki


Archive | 2004

Manufacture of a semiconductor integrated circuit device including a pluarality of a columnar laminates having different spacing in different directions

Tatsunori Murata; Takahiro Nakamura; Yasumichi Suzuki


Thin Solid Films | 2007

Suppression of hydrogen-ion drift into underlying layers using plasma deposited silicon oxynitride film during high-density plasma chemical vapor deposition

Tatsunori Murata; T. Yamaguchi; Mahito Sawada; S. Shimizu; K. Asai; Kiyoteru Kobayashi; H. Miyatake; Masahiro Yoneda


Archive | 2011

Semiconductor Device Having Insulating Film With Increased Tensile Stress and Manufacturing Method Thereof

Tatsunori Murata; Yuki Koide

Collaboration


Dive into the Tatsunori Murata's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Koyu Asai

Sumitomo Metal Industries

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge