Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tooru Kimura is active.

Publication


Featured researches published by Tooru Kimura.


Proceedings of SPIE | 2012

EUV resist development for 16nm half pitch

Ken Maruyama; Hiroki Nakagawa; Shalini Sharma; Yoshi Hishiro; Makoto Shimizu; Tooru Kimura

In order to resolve 16 nm half pitch and beyond upon EUV exposure, we have developed new materials for not only resists but also for under layer materials. As for resist, short acid diffusion length photo-acid generator (PAG) was developed for high resolution. As for under layer, new material with high contact angle (CA) improved line collapse margin towards printing of minimum feature size. It was found that CA of under layer was one of the important factors for resolution improvement. Furthermore, effect of development time was investigated to improve resolution. Short development time gained resolution improvement compared with long one. Finally, combination of these results was investigated. As a result, JSR EUV resist showed the potential of 15nm half pitch resolution.


Proceedings of SPIE | 2010

Development of EUV resist for 22nm half pitch and beyond

Ken Maruyama; Makoto Shimizu; Yuuki Hirai; Kouta Nishino; Tooru Kimura; Toshiyuki Kai; Kentaro Goto; Shalini Sharma

In order to achieve targeted resist performance for EUV in practical applications, we have developed new materials such as molecular glass (MG), PAG, and acid amplifiers (AA). Protected NORIA, a molecular glass, was examined for extending resolution limits. The resist with protected NORIA showed 22 nm hp resolutions under EUV exposure. PAG acid diffusion effect on LWR was also investigated. It was found that acid diffusion control was one of the most important factors for LWR improvement. To improve sensitivity, application of AA (acid amplifier) was investigated. The resist with AA gained 25% sensitivity improvement over the original formulation. Elemental technologies for major progress of EUV resist were made.


Proceedings of SPIE | 2014

Novel EUV resist materials for 16nm half pitch and EUV resist defects

Motohiro Shiratani; Takehiko Naruoka; Ken Maruyama; Ramakrishnan Ayothi; Yoshi Hishiro; Kenji Hoshiko; Andreia Santos; Xavier Buch; Tooru Kimura

Extreme ultraviolet (EUV) lithography is a candidate for the manufacturing of semiconductor devices at the 22 nm half pitch node and below. EUV lithography requires high performance resist with limited outgassing property. The key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S) for lines and spaces (LS) features. To achieve high resist sensitivity EUV resist absorbance should be increased. Resin containing fluorine atom is one of the most attractive methods to improve absorbance level of EUV resist because the fluorine atom absorbs EUV light strongly. However, resist hydrophobicity (or high contact angle) also increase due to presence of fluorine atoms in the resist polymer. It is difficult to rinse high CA resist during development process so the resist containing polymer with fluorine atom may produce additional defects. In this paper, we will report the relationship between line edge roughness and acid diffusion length. We will also show the method to diminish defects caused by high contact angle (CA) resist. We achieved good resolution and LER improvement by controlling acid diffusion length. Moreover, we found the relationship of the number of defects and the structure of the monomers containing fluorine units.


Proceedings of SPIE | 2013

Novel EUV resist materials and process for 20 nm half pitch and beyond

Ken Maruyama; Ramakrishnan Ayothi; Yoshi Hishiro; Koji Inukai; Motohiro Shiratani; Tooru Kimura

New resist, under layer, and topcoat materials specific to EUVL was developed and investigated for sub 20 nm hp patterning performance. High Tg resin and high absorption resin were developed and incorporated in to EUV resist. EUV resist including high Tg resin showed good LWR and local CD uniformity (LCDU). EUV resist containing high absorption resin showed higher resist sensitivity. New silicon type under-layer materials with different hydrophobicity were developed for further patterning performance improvement. Silicon type under-layer material with higher hydrophobic surface property improved line collapse margin which in turn improved resist resolution. EUV top-coat material was developed and examined for EUV resist sensitivity to out of band (OOB) radiation. EUV top-coat suppressed OOB influence and improved lithographic performance. EUV resist containing new materials resolved 15 nm half pitch lines and spaces and 20 nm contact hole patterns.


Proceedings of SPIE | 2012

Key parameters of EUV resists for contact hole applications

Kyoungyong Cho; Hiroki Nakagawa; Ken Maruyama; Makoto Shimizu; Tooru Kimura; Yoshi Hishiro

Patterning contact hole (CH) features with good critical dimension uniformity (CDU) is one of the most critical challenges for 10nm node lithography and beyond. Extreme ultraviolet lithography (EUVL) is considered a potential candidate because of its better aerial imaging and larger k1 factor than ArF immersion. To apply EUV lithography to high volume manufacturing, EUV resists must overcome both the trade-off among resolution (R), local CD uniformity (LCDU), and sensitivity (S) at CH features and the RLS trade-off at line/space (LS) features. We evaluated various resist materials for CH patterning applications using the microexposure tools (METs) at SEMATECH in Albany, NY, and at Lawrence Berkeley National Laboratory. In this study, we report the correlation between the lithographic performance of EUV resist at CH features and physical properties of chemically amplified resists (CARs) such as their dissolution behavior, the activation energy level of the protective group, and the acidity/acid diffusion length of the photoacid generator (PAG).


Proceedings of SPIE | 2014

Spin-on organic hardmask for topo-patterned substrate

Kazuhiko Komura; Yoshi Hishiro; Goji Wakamatsu; Yoshio Takimoto; Tomoki Nagai; Tooru Kimura; Yoshikazu Yamaguchi; Tsutomu Shimokawa; Greg Breyta; Noel Arellano; Srinivasan Balakrishnan; Luisa D. Bozano; Ananthakrishnan Sankaranarayanan; Krishna M. Bajjuri; Daniel P. Sanders; Carl E. Larson; Anuja DeSilva; Martin Glodde

Carbon rich hard mask underlayer (UL) material deposition has become inevitable process in all advanced lithography applications. UL processes which include chemical vapor deposition (CVD) and spin-on UL play a very important role for pattern transfer from patterned thin photoresist to the substrate. UL materials must satisfy several requirements, which have become more demanding with device shrinkage and increasing device complexity (FinFET, 3D integration). The most important properties of next generation UL materials are superior wiggle resistance, etch controllability, thermal resistance, planarization, and gap filling performance. In particular, planarization and gap fill properties of UL material for application on topo-patterned substrate are receiving much attention recently. CVD processes generally give better wiggle performance and thermal resistance, but poorer planarization and gap filling performance than spin-on UL processes. In addition, Cost of Ownership (CoO) of CVD process is higher than that of a spin-on UL process. Therefore spin-on organic hard mask (OHM) process has been investigated as an attractive alternative to CVD processing. In this paper, we focus on an investigation of key properties of spin-on UL materials for achieving good planarity and gap filling performance on topo-patterned substrate. Various material properties such as solution viscosity, glass transition temperature (Tg), and film shrinkage ratio were evaluated and correlations between these properties and planarization were discussed.


Proceedings of SPIE | 2012

Effects of out-of-band radiation on EUV resist performance

Koji Inukai; Shalini Sharma; Hiroki Nakagawa; Makoto Shimizu; Tooru Kimura

Extreme ultraviolet (EUV) lithography high volume manufacturing tools are expected to use laser produced plasma sources to generate EUV radiation necessary for resist exposure. EUV light from laser sources emit light over a wide spectral range or popularly known as out-of-band (OOB) radiation along with the desired wavelength. EUV resists are sensitive to both EUV and OOB radiation because a fair amount of the EUV photoresists are based on materials designed for 193 nm and 248 nm. Some of the detrimental effects of OOB radiation within the lithography process can be seen in the form of photoresist film thickness loss, which in turn results in profile degradation. Therefore development of EUV resists which are insensitive to OOB radiation is very important. We investigated EUV resist patterning performance and the effect of OOB radiation specifically in the DUV (193 nm and 248 nm) wavelength range. Resist materials with various DUV absorbance were prepared, and less OOB sensitive materials were found. Moreover, in this study effective top-coat type material for OOB reduction was developed and its effectiveness was confirmed by EUV exposure results.


Proceedings of SPIE | 2013

Photoresist analysis to investigate LWR generation mechanism

Kenji Mochida; Shinichi Nakamura; Tooru Kimura; Kazuki Kawai; Yoshihiko Taguchi; Naoki Man; Hideki Hashimoto

In order to understand the mechanism of line width roughness (LWR) generation and to find control knobs for improving resist patterning properties, we developed precise direct analysis method of resist patterns. This method comprise three important processes: 1. Selective sampling of resist pattern surface and pattern core, 2. Analysis and preparative isolation of collected resist ingredient by μGPC, 3. Structural analysis by Py-GC/MS. μGPC and Py-GC/MS analysis provid resist ingredient distribution information inside resist pattern, which includes original polymer, reacted polymer, and photo acid generator (PAG) through the ArF patterning process. This novel analytical method can provide remarkably helpful information about identifying proper control knobs for lithographic performance of ArF resist and for next generation lithography (NGL), especially extreme ultra violet lithography (EUVL) materials, where exposure tool time is very limited.


Advances in resist technology and processing. Conference | 2005

Contact hole shrink process with novel chemical shrink materials

Takayoshi Abe; Tooru Kimura; Takashi Chiba; Motoyuki Shima; Shiro Kusumoto; Tsutomu Shimokawa

Contact hole shrink process is becoming more important option for 45nm node design rules. In general, lithography of contact hole has been harder than that of line and space application due to the low contrast of incident light. The contact hole size for 45nm node device will be around 60nm and this hole size will be the limit of 193nm lithography. High NA exposure tool for 193nm lithography achieves 60nm contact hole resolution, but both under dose margin and depth of focus will be limited. This fact results in the insufficient process window of 193nm lithography. Thus some supporting process should be necessary and a chemical shrink process is one of the possible approach to resolve 60nm contact hole with appropriate process margin. The general chemical shrink process is as follows. Chemical Shrink Material (CSM) is coated on patterned photoresist, and following bake process controls chemical cross-linking reaction and forming a layer insoluble into the developer. As a result pattern size is reduced to desired CD. However current CSM has several issues: i.e. inferior etching durability of CSM than that of 193nm resist and pattern profile degradation after the process. This will be the critical problem for pattern transfer process using CSM. From this point of view, we developed a novel CSM which has good etching durability compared with 193nm resist and does not have a pattern profile degradation. This material consists of aromatic moiety to satisfy good etching durability. Also, the shrink rate and amount are not pitch dependent.


Proceedings of SPIE | 2015

Novel thin film analysis to investigate actual film formation

Kazunori Sakai; Kenji Mochida; Shinichi Nakamura; Tooru Kimura; Kazuhiro Yoshikawa; Naoki Man; Hirofumi Seiki; Masaaki Takeda

In order to understand the mechanism of the pattern wiggling distortion and to find control knobs for improving wiggle performance of spin-on carbon hard mask materials, we have developed analysis method of underlayer (UL) films by utilizing XPS depth profiling using Gas Cluster Ion Beam(GCIB-XPS). Differences of distributions of elemental compositions from the surface to the bottom of the processed or un-processed films have been visualized by GCIB-XPS analysis. Besides, these achievements allow us to identify fluoro substitution of oxygen during etching process as the control knob for the pattern wiggling distortion.

Collaboration


Dive into the Tooru Kimura's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Shalini Sharma

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Tomoki Nagai

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge