Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Toshihiro Nishisaka is active.

Publication


Featured researches published by Toshihiro Nishisaka.


23rd Annual International Symposium on Microlithography | 1998

High-spectral-purity and high-durability kHz KrF excimer laser with advanced rf preionization discharge

Tatsuo Enami; Osamu Wakabayashi; Toshihiro Nishisaka; Natsushi Suzuki; Takashi Nire; Hakaru Mizoguchi; Hiroaki Nakarai; Hirokazu Tanaka; Tatsuya Ariga; Kouji Shio; Takeshi Okamoto; Ryoichi Nodomi; Hitoshi Tomaru; Kiyoharu Nakao

We present the performance and durability of the newest model of the KrF excimer laser for microlithography KLES-G10K. The laser achieves 10 W of output power with 0.7 pm bandwidth at 1000 Hz with newly developed solid state pulsed power module and the high precise narrowing module. The durability of laser tube achieves 5 billion pulses with the new radio frequency preionization scheme, which reduces consumption of fluorine gas and maintenance of laser tube drastically.


Proceedings of SPIE | 2009

Laser-produced plasma source development for EUV lithography

Akira Endo; Hiroshi Komori; Yoshifumi Ueno; Krzysztof Nowak; Yabu Takayuki; Yanagida Tatsuya; Takashi Suganuma; Takeshi Asayama; Hiroshi Someya; Hideo Hoshino; Masaki Nakano; Masato Moriya; Toshihiro Nishisaka; Tamotsu Abe; Akira Sumitani; Hitoshi Nagano; Youichi Sasaki; Shinji Nagai; Yukio Watanabe; Georg Soumagne; Takanobu Ishihara; Osamu Wakabayashi; Kouji Kakizaki; Hakaru Mizoguchi

We are developing a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a high power, high repetition rate CO2 laser system, a tin droplet target and a magnetic plasma guiding for collector mirror protection. This approach enables cost-effective high-conversion efficiency and EUV power scaling. The laser system is a master oscillator power amplifier (MOPA) configuration. We have achieved a maximum average laser output power of more than 10 kW at 100 kHz and 20 ns pulse by a single laser beam with good beam quality. EUV in-band power and out-of-band characteristics are measuring with high power CO2 laser and Sn droplet target configuration. This light source is scalable to more than 200 W EUV in-band power based on a 20-kW CO2 laser. Collector mirror life can be extended by using droplet target and magnetic plasma guiding. Effectiveness of the magnetic plasma guiding is examined by monitoring the motion of fast Sn ion in a large vacuum chamber. The ion flux from a Sn plasma was confined along the magnetic axis with a maximum magnetic flux density of 2 T.


Proceedings of SPIE | 2008

CO2 laser-produced Sn-plasma source for high-volume manufacturing EUV lithography

Akira Endo; Hideo Hoshino; Takashi Suganuma; Krzysztof Nowak; Tatsuya Yanagida; Takayuki Yabu; Takeshi Asayama; Yoshifumi Ueno; Masato Moriya; Masaki Nakano; Hiroshi Someya; Toshihiro Nishisaka; Tamotsu Abe; Georg Soumagne; Hiroshi Komori; Hakaru Mizoguchi; Akira Sumitani; Koichi Toyoda

We are developing a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a high power, high repetition rate CO2 laser system, a tin target and a magnetic ion guiding for tin treatment. The laser system is a master oscillator power amplifier (MOPA) configuration. We have achieved an average laser output power of 10 kW at 100 kHz by a single laser beam with good beam quality. EUV in-band power equivalent to 60 W at intermediate focus was produced by irradiating a tin rotating plate with 6 kW laser power. This light source is scalable to more than 200 W EUV in-band power based on a 20-kW CO2 laser. Collector mirror life can be extended by using droplet target and magnetic ion guiding. Effectiveness of the magnetic ion guiding is examined by monitoring the motion of fast Sn ion in a large vacuum chamber with a maximum magnetic flux density of 2 T.


Japanese Journal of Applied Physics | 1999

Development of a 2 kHz F_2 Laser for 157 nm Lithography

Shinji Nagai; Kiwamu Takehisa; Tatsuo Enami; Toshihiro Nishisaka; Junichi Fujimoto; Osamu Wakabayashi; Hakaru Mizoguchi; Akihiko Takahashi

We have developed a 2 kHz repetition rate discharge-pumped molecular fluorine laser oscillating at 157 nm. It has achieved an average power of 22 W at the repetition rate of 2 kHz with a newly developed solid-state pulse power module which has a maximum input energy of 6 J/pulse. The multi-kilohertz F2 laser is a key device for 157 nm lithography aimed at the design rule of below 0.10 microns.


Optical Microlithography XVII | 2004

Highly durable 4-kHz ArF excimer laser G42A for sub-90-nm lithography

Takashi Saito; Hirotoshi Inoue; Hitoshi Nagano; Masaya Yoshino; Osamu Wakabayashi; Ryoichi Nohdomi; Toshihiro Nishisaka; Akira Sumitani; Hitoshi Tomaru; Hakaru Mizoguchi

Mass production in 193 nm lithography is now starting and its target node is moving from 90 nm to 65 nm. The main performance requirement of ArF excimer laser in this situation is high power with ultra narrow spectrum for higher throughput. The other hand, higher reliability and lower cost of ownership (CoO) are strongly hoped in mass production because CoO of ArF excimer laser is still higher than that of KrF excimer laser. We have already reported the 4 kHz ArF excimer laser for mass production, model G42A, which has an 20 W of average power, spectral bandwidth less than 0.3 pm (FWHM) and a spectral purity less than 0.75 pm (E95). We applied some technologies to G42A for achieving the high reliability and long lifetime. As a result, G42A showed the stable performance during the lifetime of over 10 billion pulses. In this paper, we report the long-term stability of G42A. And also, we introduce the reliability data of G40A series, which is the previous 4 kHz ArF excimer laser model.


international microprocesses and nanotechnology conference | 1999

Development of kHz F/sub 2/ laser for 157 nm lithography

Shinji Nagai; Tatsuo Enami; Toshihiro Nishisaka; Junichi Fujimoto; Osamu Wakabayashi; Hakaru Mizoguchi

We have been developing a high repetition rate discharge-pumped molecular fluorine laser for 157 nm microlithography. We have developed a high repetition rate solid state pulsed power module (SSPPM) up to 800 Hz. This laser adopts a stable resonator composed of a MgF/sub 2/ high reflection plane mirror and a MgF/sub 2/ output coupler, which show high transmittance and durability for VUV light. To avoid attenuation of the 157 nm radiation due to the absorption of O/sub 2/, all the optical path including optics and detectors are continually flushed by pure nitrogen at least below 100 ppm O/sub 2/ concentration.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Performance of a highly stable 2-kHz operation KrF laser

Tatsuo Enami; Masaki Nakano; Takayuki Watanabe; Ayako Ohbo; Tsukasa Hori; Takashi Ito; Toshihiro Nishisaka; Akira Sumitani; Osamu Wakabayashi; Hakaru Mizoguchi; Hiroaki Nakarai; Naoto Hisanaga; Takeshi Matsunaga; Hirokazu Tanaka; Tatsuya Ariga; Syouich Sakanishi; Takeshi Okamoto; Ryoichi Nodomi; Takashi Suzuki; Yuichi Takabayashi; Hitoshi Tomaru; Kiyoharu Nakao

In the semiconductor industry, it is one of the most important issues to reduce manufacturing cost of the semiconductor device by increasing throughput. We have succeeded in the development of the high repetition rate excimer laser technology, and obtained the prospect of low CoO of the laser device. In this paper, we present the performance and advanced technologies of the newest model of the KrF excimer laser for microlithography; KLES-G20K. The laser achieves 20 W of output power with 0.6 pm bandwidth at 2 kHz. The pulse to pulse energy stability, 3 sigma is less than 6 percent and integrated energy stability is within +/- 0.4 percent. By our estimation, more than 50 percent of CoO of the laser device is cut by adopting developed machine compared to a present one.


Second International Symposium on Laser Precision Microfabrication | 2002

Ultra-line-narrowed F 2 laser for microlithography

Hiroshi Komori; Tatsuya Ariga; Hidenori Watanabe; Takahito Kumazaki; Naoki Kitatochi; Kotaro Sasano; Yoshifumi Ueno; Toshihiro Nishisaka; Ryoichi Nohdomi; Kazuaki Hotta; Hakaru Mizoguchi; Kiyoharu Nakao

The Association of Super-Advanced Electronics Technologies (ASET) started The F2 Laser Lithography Development Project in March 2000, to clarify solutions of base F2 lithography technologies. In this project, we are developing an ultra line-narrowed F2 laser light source for exposure tools tat are employing dioptric projection optics. We have developed an intermediate engineering injection- locking laser system that has an oscillator laser and an amplifier to study the feasibility of an ultra line-narrowed F2 laser. A spectral bandwidth of <0.2pm (FWHM) at a repetition rate of 1000Hz and an average power of 14W has been achieved with this laser system. The laser output performance dependence on the relative delay between oscillator laser and amplifier is also measured.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

High repetition rate fluorine laser for microlithography

Junichi Fujimoto; Shinji Nagai; Koji Shio; Yasuaki Iwata; Kiwamu Takehisa; Toshihiro Nishisaka; Osamu Wakabayashi; Hakaru Mizoguchi

The fluorine molecular laser is a very promising light source for the next generation of optical microlithography below 100 nm. The fluorine laser we developed uses a new, all solid-state pulse power module, that generates an output energy of 6 J/pulse, and an optimized RF pre-ionization. At 2000Hz, 11 mJ/pulse have been measured. Single line oscillation at 157.6299nm was obtained using prisms. Fluorine laser spectra have been measured with a high- resolution VUV spectrometer. The convoluted bandwidth was 1.08pm for 0.1 percent /balance F2/He and a total pressure of 3000 hPa. Currently, we are investigating Ultra Narrow fluorine lasers with a bandwidth below 0.2pm. This laser is aimed for exposure tools using refractive projection optics at 157nm. Evaluation tools for optical materials and coatings have also been developed. The temporal transmittance during 157 nm laser irradiation and the transmittance between the DUV and VUV region directly after laser irradiation can be measured. We have successfully demonstrated the potential of the molecular fluorine laser for microlithography and a first generation laser for 157 nm exposure tools is almost ready.


Laser and Ion Beam Modification of Materials#R##N#Proceedings of the Symposium U: Material Synthesis and Modification by Ion Beams and Laser Beams of the 3rd IUMRS International Conference on Advanced Materials, Sunshine City, Ikebukuro, Tokyo, Japan, August 31–September 4, 1993 | 1994

High-power high beam quality ArF laser

Hiroshi Komori; Hidetomi Ochi; Toshihiro Nishisaka; Ryoichi Nodomi; Yasuo Itakura; Kazuaki Sajiki; Shuji Murai

A high power injection-locked ArF excimer laser system for advanced material processing and machining is presented. The spectrally narrowed oscillator produced seed laser pulses with a spectral bandwidth of less than 0.8 pm (FWHM). The wide aperture discharge pumped amplifier produced 1.3 J output energy in oscillator operation. Stable output energy was obtained with the injection timing between -5 ns and 5 ns and with the injection energy density of more than 1 mJ/cm2.

Collaboration


Dive into the Toshihiro Nishisaka's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge