Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Wen-Chun Huang is active.

Publication


Featured researches published by Wen-Chun Huang.


Proceedings of SPIE | 2007

Patterning effect and correlated electrical model of post-OPC MOSFET devices

Y. C. Cheng; T. H. Ou; M. H. Wu; Wen-Yun Wang; J. H. Feng; Wen-Chun Huang; C. M. Lai; R. G. Liu; Yao-Ching Ku

Accurate simulation of todays devices needs to account for real device geometry complexities after the lithography and etching processes, especially when the channel length shrinks to 65-nm and below. The device performance is believed to be quite different from what designers expect in the conventional IC design flow. The traditional design lacks consideration of the photolithography effects and pattern geometrical operations from the manufacturing side. In to order obtain more accurate prediction on circuits, an efficient approach to estimate nonrectangular MOSFET devices is proposed. In addition, an electrical hotspot criterion is also proposed to investigate and verify the manufacturability of devices during patterning processes. This electrical rule criterion will be performed after the regular Design Rule Check (DRC) or Design for Manufacturing (DFM) rule check. Photolithography and industrial-strength SPICE model are taken into consideration to further correlate the process variation. As a result, the correlation between process-windows and driving current variation of devices will be discussed explicitly in this paper.


Optical Microlithography XVIII | 2005

OPC modeling by genetic algorithm

Wen-Chun Huang; Chien-Wen Lai; B. Luo; Cheng-Kun Tsai; Cherng-Shyan Tsay; Chien Wen Lai; C. C. Kuo; Ru-Gun Liu; Hua-Tai Lin; Burn-Jeng Lin

Optical proximity correction (OPC) is usually used to pre-distort mask layouts to make the printed patterns as close to the desired shapes as possible. For model-based OPC, a lithographic model to predict critical dimensions after lithographic processing is needed. The model is usually obtained via a regression of parameters based on experimental data containing optical proximity effects. When the parameters involve a mix of the continuous (optical and resist models) and the discrete (kernel numbers) sets, the traditional numerical optimization method may have difficulty handling model fitting. In this study, an artificial-intelligent optimization method was used to regress the parameters of the lithographic models for OPC. The implemented phenomenological models were constant-threshold models that combine diffused aerial image models with loading effects. Optical kernels decomposed from Hopkin’s equation were used to calculate aerial images on the wafer. Similarly, the numbers of optical kernels were treated as regression parameters. This way, good regression results were obtained with different sets of optical proximity effect data.


Proceedings of SPIE | 2008

Pellicle effect on OPC modeling

Boren Luo; Chi-Kang Chang; Wen-Yun Wang; Wen-Chun Huang; Timothy Wu; C. W. Lai; R. G. Liu; Hua-Tai Lin; Kai-Hsiung Chen; Yao-Ching Ku

As the patterning of IC manufacturing shrinks to the 32-nm node and beyond, high-NA and immersion lithography are required for pushing resolution to its physical limit. To achieve good OPC performance, various physical effects such as polarization, mask topography, and mask pellicle have to be considered to improve the model accuracy. The attenuation and the phase variation of TE and TM wave components induced by the pellicle would impact optical qualities in terms of resolution, distortion, defocus shift, and high-order aberrations. In this paper, the OPC model considering pellicle effects is investigated with Jones pupil. The CD variation induced by the pellicle effect can be predicted accurately. Therefore, the improvement on model accuracy for 32-nm node is demonstrated.


Proceedings of SPIE | 2013

Joint calibration of 3D resist image and CDSEM

Chih-Shiang Chou; Y. Y. He; Y. T. Chang; Wen-Chun Huang; R. G. Liu; Tsai-Sheng Gau

Traditionally, an optical proximity correction model is to evaluate the resist image at a specific depth within the photoresist and then extract the resist contours from the image. Calibration is generally implemented by comparing resist contours with the critical dimensions (CD). The wafer CD is usually collected by a scanning electron microscope (SEM), which evaluates the CD based on some criterion that is a function of gray level, differential signal, threshold or other parameters set by the SEM. However, the criterion does not reveal which depth the CD is obtained at. This depth inconsistency between modeling and SEM makes the model calibration difficult for low k1 images. In this paper, the vertical resist profile is obtained by modifying the model from planar (2D) to quasi-3D approach and comparing the CD from this new model with SEM CD. For this quasi-3D model, the photoresist diffusion along the depth of the resist is considered and the 3D photoresist contours are evaluated. The performance of this new model is studied and is better than the 2D model.


Proceedings of SPIE | 2009

OPC segmentation: dilemma between degree-of-freedom and stability with some relieves

J. H. Feng; M. H. Chih; C. K. Tsai; Wen-Chun Huang; C. C. Kuo; R. G. Liu; Hua-Tai Lin; Yao-Ching Ku

It is believed that smaller correction segments could achieve better pattern fidelity, however, some unstable OPC results which are beyond the capability of common OPC correction schemes were found once the segment length is less than a certain threshold. The dilemma between offering more degree-of-freedom by decreasing the correction segment length at the cost of longer correction time and the instability induced by the reduced segment length challenges every OPC engineer. In this paper, 2 indices are introduced; the segmentation index is proposed to determine a reasonable minimum segment length while the stability index can be used to examine whether the correction system is a stiff convergence problem. A compromised correction algorithm is also proposed to consider the OPC accuracy, stability and runtime simultaneously. The correction results and the runtime are analyzed.


Proceedings of SPIE | 2008

Layout patterning check for DFM

Ching-Yu Chang; I. C. Shih; J. F. Lin; Yung-Sung Yen; Chien-Wen Lai; Wen-Chun Huang; R. G. Liu; Yao-Ching Ku

Design rules and the design rule check (DRC) utility are conventional approaches to design for manufacturability (DFM). The DRC utility is based on unsophisticated rules to check the design layout in a simple environment. As the design dimension shrinks drastically, the introduction of a more powerful DFM utility with model-based layout patterning check (LPC) becomes mandatory for designers to filter process weak-points before taping out layouts. In this paper, a system of integrated hotspot scores consisting of three lithography sensitive indexes is proposed to assist designers to circumvent risky layout patterns in lithography. With the hotspot fixing guideline and the hotspot severity classification deduced from the scoring system provided in this paper, designers can deliver much more manufacturable designs.


Proceedings of SPIE | 2015

Simulation of AIMS measurements using rigorous mask 3D modeling

Chih-Shiang Chou; Hsu-Ting Huang; Fu-Sheng Chu; Yuan-Chih Chu; Wen-Chun Huang; R. G. Liu; Tsai-Sheng Gau

Aerial image measurement system (AIMSTM) has been widely used for wafer level inspection of mask defects. Reported inspection flows include die-to-die (D2D) and die-to-database (D2DB) methods. For patterns that do not repeat in another die, only the D2DB approach is applicable. The D2DB method requires accurate simulation of AIMS measurements for a mask pattern. An optical vectorial model is needed to depict the mask diffraction effect in this simulation. To accurately simulate the imaging results, a rigorous electro-magnetic field (EMF) model is essential to correctly take account of the EMF scattering induced by the mask topography, which is usually called the mask 3D effect. In this study, the mask 3D model we use is rigorous coupled-wave analysis (RCWA), which calculates the diffraction fields from a single plane wave incidence. A hybrid Hopkins-Abbe method with RCWA is used to calculate the EMF diffraction at a desired accuracy level while keeping the computation time practical. We will compare the speed of the hybrid Hopkins-Abbe method to the rigorous Abbe method. The matching between simulation and experiment is more challenging for AIMS than CD-SEM because its measurements provide full intensity information. Parameters in the mask 3D model such as film stack thickness or film optical properties, is optimized during the fitting process. We will report the fitting results of AIMS images for twodimensional structures with various pitches. By accurately simulating the AIMS measurements, it provides a necessary tool to perform the mask inspection using the D2DB approach and to accurately predict the mask defects.


Proceedings of SPIE | 2014

Resist profile simulation with fast lithography model

Yan-Ying He; Chih-Shiang Chou; Wen-Chun Huang; R. G. Liu; Tsai-Sheng Gau

A traditional approach to construct a fast lithographic model is to match wafer top-down SEM images, contours and/or gauge CDs with a TCC model plus some simple resist representation. This modeling method has been proven and is extensively used for OPC modeling. As the technology moves forward, this traditional approach has become insufficient in regard to lithography weak point detection, etching bias prediction, etc. The drawback of this approach is from metrology and simulation. First, top-down SEM is only good for acquiring planar CD information. Some 3D metrology such as cross-section SEM or AFM is necessary to obtain the true resist profile. Second, the TCC modeling approach is only suitable for planar image simulation. In order to model the resist profile, full 3D image simulation is needed. Even though there are many rigorous simulators capable of catching the resist profile very well, none of them is feasible for full-chip application due to the tremendous consumption of computational resource. The authors have proposed a quasi-3D image simulation method in the previous study [1], which is suitable for full-chip simulation with the consideration of sidewall angles, to improve the model accuracy of planar models. In this paper, the quasi-3D image simulation is extended to directly model the resist profile with AFM and/or cross-section SEM data. Resist weak points detected by the model generated with this 3D approach are verified on the wafer.


Proceedings of SPIE | 2012

Building 3D aerial image in photoresist with reconstructed mask image acquired with optical microscope

Chih-Shiang Chou; F. S. Chu; Wen-Chun Huang; R. G. Liu; Tsai-Sheng Gau

Calibration of mask images on wafer becomes more important as features shrink. Two major types of metrology have been commonly adopted. One is to measure the mask image with scanning electron microscope (SEM) to obtain the contours on mask and then simulate the wafer image with optical simulator. The other is to use an optical imaging tool Aerial Image Measurement System (AIMSTM) to emulate the image on wafer. However, the SEM method is indirect. It just gathers planar contours on a mask with no consideration of optical characteristics such as 3D topography structures. Hence, the image on wafer is not predicted precisely. Though the AIMSTM method can be used to directly measure the intensity at the near field of a mask but the image measured this way is not quite the same as that on the wafer due to reflections and refractions in the films on wafer. Here, a new approach is proposed to emulate the image on wafer more precisely. The behavior of plane waves with different oblique angles is well known inside and between planar film stacks. In an optical microscope imaging system, plane waves can be extracted from the pupil plane with a coherent point source of illumination. Once plane waves with a specific coherent illumination are analyzed, the partially coherent component of waves could be reconstructed with a proper transfer function, which includes lens aberration, polarization, reflection and refraction in films. It is a new method that we can transfer near light field of a mask into an image on wafer without the disadvantages of indirect SEM measurement such as neglecting effects of mask topography, reflections and refractions in the wafer film stacks. Furthermore, with this precise latent image, a separated resist model also becomes more achievable.


Archive | 2010

DECOMPOSING INTEGRATED CIRCUIT LAYOUT

Pi-Tsung Chen; Ming-Hui Chih; Ken-Hsien Hsieh; Wei-Long Wang; Wen-Chun Huang; Ru-Gun Liu; Tsai-Sheng Gau; Wen-Ju Yang; Gwan Sin Chang; Yung-Sung Yen

Collaboration


Dive into the Wen-Chun Huang's collaboration.

Researchain Logo
Decentralizing Knowledge