Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Lloyd C. Litt is active.

Publication


Featured researches published by Lloyd C. Litt.


Metrology, inspection, and process control for microlothoggraphy. Conference | 2001

Implementation of spectroscopic critical dimension (SCD) (TM) for gate CD control and stepper characterization

John A. Allgair; David C. Benoit; Mark Drew; Robert R. Hershey; Lloyd C. Litt; Pedro Herrera; Umar K. Whitney; Marco Guevremont; Ady Levy; Suresh Lakkapragada

Smaller device dimensions and tighter process control windows have created a need for CD metrology tools having higher levels of precision and accuracy. Furthermore, the need to detect and measure changes in feature profiles is becoming critical to in-line process control and stepper evaluation for sub-0.18micrometers technology. Spectroscopic CD (SCDTM) is an optical metrology technique that can address these needs. This work describes the use of a spectroscopic CD metrology tool to measure and characterize the focus and exposure windows for the process. The results include comparison to the established in-line CD-SEM, as well as a cross-section SEM. Repeatability and long-term stability data form a gate level nominal process are also presented.


Metrology, inspection, and process control for microlithography. Conference | 2000

Manufacturing considerations for implementation of scatterometry for process monitoring

John A. Allgair; David C. Benoit; Robert R. Hershey; Lloyd C. Litt; Ibrahim Abdulhalim; William Braymer; Michael Faeyrman; John C. Robinson; Umar K. Whitney; Yiping Xu; Piotr Zalicki; Joel L. Seligson

The continuing demand for higher frequency microprocessors and larger memory arrays has led to decreasing device dimensions and smaller process control windows. Decreasing process control windows have created a need for higher precision metrology to maintain an acceptable precision to tolerance ratio with a reasonable sampling rate. In order to determine and reduce across chip, across wafer, and across lot linewidth variations, higher sampling is required which, in turn, demands faster move acquire measure (MAM) times to maintain throughput. Finally, the need to detect and quantify sidewall angle changes in addition to CD measurements is becoming critical. Spectroscopic Scatterometry is a metrology technique which offers the potential to meet these requirements. This work explores some of the fundamental technology concerns for implementing scatterometry in a manufacturing environment. These concerns include mark requirements and characterization necessary for library generation. Comparison of scatterometry data to in-line CD SEM, x-section SEM, and AFM results will be presented.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Flare and its impact on low-k1 KrF and ArF lithography

Bruno La Fontaine; Mircea Dusa; Alden Acheta; Cinti Chen; Anatoly Bourov; Harry J. Levinson; Lloyd C. Litt; Rolf Seltman; Judith van Praagh

We present a complete method for the characterization and modeling of flare based on the measurement of the modulation transfer function (MTF) of scanners. A point-spread function (PSFscat) representing only the scattered light or flare in the tool is inferred by comparing the measured MTF with a calculated MTF for aberration-free imaging. This PSFscat is then used to predict the effect of flare for different layouts. In particular, local variations in pattern density are shown to couple with mid- and short-range flare and lead to significant CD non-uniformity across the field. Finally, we examine double exposure techniques that are sensitive to flare because of the total light reaching the wafer, from the two masking steps.


Optical Microlithography XVI | 2003

ArF solutions for low-k 1 back-end imaging

Vincent Wiaux; Patrick K. Montgomery; Geert Vandenberghe; Philippe Monnoyer; Kurt G. Ronse; Will Conley; Lloyd C. Litt; Kevin D. Lucas; Jo Finders; Robert John Socha; Douglas Van Den Broeke

The requirements stated in the ITRS roadmap for back-end-of-line imaging of current and future technology nodes are very aggressive. Therefore, it is likely that high NA in combination with enhancement techniques will be necessary for the imaging of contacts and trenches, pushing optical lithography into the low-k1 regime. In this paper, we focus more specifically on imaging solutions for contact holes beyond the 90 nm node using high NA ArF lithography, as this is currently seen as one of the major challenges in optical lithography. We investigate the performance of various existing enhancement techniques in order to provide contact holes imaging solutions in a k1 range from 0.35 to 0.45, using the ASML PAS5500/1100 0.75NA ArF scanner installed at IMEC. For various resolution enhancement techniques (RET), the proof of concept has been demonstrated in literature. In this paper, we propose an experimental one-to-one comparison of these RET’s with fixed CD target, exposure tool, lithographic process, and metrology. A single exposure through pitch (dense through isolated) printing solution is preferred and is the largest challenge. The common approach using a 6% attenuated phase-shifted mask (attPSM) with a conventional illumination fails. The advantages and drawbacks of other techniques are discussed. High transmission (17%) attenuated phase shift, potentially beneficial for part of the pitch range, requires conflicting trade-offs when looking for a single exposure through pitch solution. More promising results are obtained combining a BIM or a 6% attPSM with assist slots and off-axis illumination, yielding a depth of focus (DOF) at 8% exposure latitude (EL) greater than 0.31 μm from 200 nm pitch through isolated. Chromeless phase lithography (CPL) is also discussed with promising results obtained at the densest pitch. At a 0.4 k1, an experimental extrapolation to 0.85NA demonstrates that a pitch of 180 nm can be resolved with 0.4 μm DOF at 8% EL. For all of these imaging solutions, various metrics are studied to compare printing performance. In addition to process latitude, we consider forbidden pitches, sidelobes printability, and mask error enhancement factor (MEEF).


Optical Microlithography XVII | 2004

RET integration of CPL technology for random logic

Douglas Van Den Broeke; J. Fung Chen; Xuelong Shi; Michael Hsu; Thomas L. Laidig; Will Conley; Lloyd C. Litt; Wei Wu

As IC fabrication processes are maturing for the 130nm node, IC devices manufacturers are focusing on 90nm device manufacturing at ever-lower k1 values. Driven by cost savings, many integrated device manufacturers (IDMs) and foundries are working toward patterning critical mask layers of 90nm designs using high numerical aperture KrF exposure tools. The goal of this study is to find out whether KrF can be successfully used instead of ArF for fabricating 90nm devices. This exercise will help to gain learning for the upcoming 65nm node, where the early manufacturing phase will also be carried out at a similar k1 of near 0.3 using ArF. For high volume wafer production, the cost and throughput are in favor of using a single exposure PSM technique vs. the two masks and double exposure technique required for alternating phase shift masks (altPSM). The high mask cost of altPSM also discourages its use for low volume manufacturing. The two leading candidates candidates for 90nm node using KrF are: 6% attenuated PSM and CPL Technology. In this work, we present a methodology on how to use transmission tuning to achieve the best process latitude for patterning poly gate layer. First, we analyze the diffraction patterns from 6% attPSM and CPL mask features and identify the optimum transmission for various pitches. Next we describe how CPL mask can be used as a variable transmission attenuated mask to produce the best through pitch imaging performance and show a practical implementation method for applying to real device designs. Then we demonstrate how to integrate the optimized transmission tuning into the data process and OPC flow for generating CPL mask. Finally, we provide an example experimental result on a real device pattern.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Development of a sub-100-nm integrated imaging system using chromeless phase-shifting imaging with very high NA KrF exposure and off-axis illumination

John S. Petersen; Will Conley; Bernard J. Roman; Lloyd C. Litt; Kevin D. Lucas; Wei Wu; Douglas Van Den Broeke; J. Fung Chen; Thomas L. Laidig; Kurt E. Wampler; David J. Gerold; Robert John Socha; Judith van Praagh; Richard Droste

Examining features of varying pitch imaged using phase-shifting masks shows a pitch dependence on the transmission best suited for optimum imaging. The reason for this deals with the relative magnitude of the zero and higher diffraction orders that are formed as the exposing wavelength passes through the plurality of zero and 180-degree phase-shifted regions. Subsequently, some of the diffraction orders are collected and projected to form the image of the object. Chromeless Phase-Shift Lithography (CPL) deals with using halftoning structures to manipulate these relative magnitudes of these diffraction orders to ultimately construct the desired projected image. A key feature of CPL is that with the ability to manipulate the diffraction orders, a single weak phase-shifting mask can be made to emulate any weak phase-shifting mask and therefore the optimal imaging condition of any pattern can be placed on a single mask regardless of the type of weak phase-shifter that produces that result. In addition, these structures are used to render the plurality of size, shape and pitch such that the formed images produce their respective desired size and shape with sufficient image process tolerance. These images are typically made under identical exposure conditions, but not limited to single exposure condition. These halftoning structures can be used exterior, as assist features, or interior to the primary feature. These structures can range in transmission from 0% to 100% and they can be phase-shifted relative to the primary features or not. Thus CPL deals with the design, layout, and utilization of transparent and semi-transparent phase-shift masks and their use in an integrated imaging solution of exposure tool, mask and the photoresist recording media. This paper describes the method of diffraction matching, provides an example and reviews some experimental data using high numerical aperture KrF exposure.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Application of Chromeless Phase Lithography (CPL) masks in ArF lithography

Bryan S. Kasprowicz; Christopher J. Progler; Wei Wu; Will Conley; Lloyd C. Litt; Douglas Van Den Broeke; Kurt E. Wampler; Robert John Socha

The challenges of low k1 lithography require unique solutions at all levels of the lithography process. Chromeless phase lithography (CPL) is a promising technique that uses a 2-beam imaging strategy and a unique OPC application for enhanced CD uniformity through pitch. It is particularly effective when combined with a high numerical aperture (NA) and off-axis illumination (OAI). In addition to its imaging benefits, CPL masks offer many advantages in the manufacturing of the mask over other approaches. The manufacturing strategy and methodology employed to fabricate CPL masks will be discussed. The technical challenges of mask production will also be highlighted. Application of CPL to production ArF images were characterized through simulations and experimental data demonstrating the capability of this technique to produce complex structures.


Optical Microlithography XVI | 2003

Mighty high-T lithography for 65-nm generation contacts

Will Conley; Patrick K. Montgomery; Kevin D. Lucas; Lloyd C. Litt; John Maltabes; Laurent Dieu; Gregory P. Hughes; David Mellenthin; Robert John Socha; Eric L. Fanucchi; Arjan Verhappen; Kurt E. Wampler; Linda Yu; Erika Schaefer; Shawn Cassel; Jan Pieter Kuijten; Wil Pijnenburg; Vincent Wiaux; Geert Vandenberghe

Contact patterning for the 65nm device generation will be an exceedingly difficult task. The 2001 SIA roadmap lists the targeted contact size as 90nm with +/-10% CD control requirements of +/-9nm. Defectivity levels must also be below one failure per billion contacts for acceptable device yield. Difficulties in contact patterning are driven by the low depth of focus of isolated contacts and/or the high mask error (MEF) for dense contact arrays (in combination with expected reticle CD errors). Traditional contact lithography methods are not able to mitigate both these difficulties simultaneously. Inlaid metal trench patterning for the 65nm generation has similar lithographic difficulties though not to the extreme degree as seen with contacts. This study included the use of multiple, high transmission, 193nm attenuated phase shifting mask varieties to meet the difficult challenges of 65nm contact and trench lithography. Numerous illumination schemes, mask biasing, optical proximity correction (OPC), mask manufacturing techniques, and mask blank substrate materials were investigated. The analysis criteria included depth of focus, exposure latitude and MEF through pitch, reticle inspection, reticle manufacturability, and cost of ownership. The investigation determined that certain high transmission reticle schemes are strong contenders for 65nm generation contact and trench patterning. However, a number of strong interactions between illumination, OPC, and reticle manufacturing issues need to be considered.


Optical Microlithography XVI | 2003

Application of CPL reticle technology for the 65- and 50-nm node

Will Conley; Douglas Van Den Broeke; Robert John Socha; Wei Wu; Lloyd C. Litt; Kevin D. Lucas; Carla Nelson-Thomas; Bernard J. Roman; J. Fung Chen; Kurt E. Wampler; Thomas L. Laidig; Erika Schaefer; Shawn Cassel; Linda Yu; Bryan S. Kasprowicz; Christopher J. Progler; John S. Petersen; David J. Gerold; Mark John Maslow

Each generation of semiconductor device technology drive new and interesting resolution enhancement technology (RET’s). The race to smaller and smaller geometry’s has forced device manufacturers to k1’s approaching 0.40. The authors have been investigating the use of Chromeless phase-shifting masks (CLM) exposed with ArF, high numerical aperture (NA), and off-axis illumination (OAI) has been shown to produce production worthy sub-100nm resist patterns with acceptable overlapped process window across feature pitch. There have been a number of authors who have investigated CLM in the past but the technology has never received mainstream attention due to constraints such as wet quartz etch during mask fabrication, limited approach to optical proximity correction (OPC), and exposure tool limitations such as on-axis illumination and too low of NA. With novel binary halftone OPC and a capable modern mask making process, it has become possible to achieve global and local pattern optimization of the phase shifter for a given layout especially for patterning features with dimension at sub-half-exposure wavelength. The authors have built a number of test structures that require superior 2D control for SRAM gate structures. In this paper the authors will focus on image process integration for the 65nm node. Emphasis on pattern layout, mask fabrication and image processing will be discussed. Furthermore, the authors will discuss defect printing, inspection and repair, mask error enhancement factor (MEEF) of 2D structures coupled with phase error, layout, and mask fabrication specifications.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Characterization of CD control for sub-0.18 μm lithographic patterning

John L. Sturtevant; John A. Allgair; Chong-Cheng Fu; Kent G. Green; Robert R. Hershey; Michael E. Kling; Lloyd C. Litt; Kevin D. Lucas; Bernard J. Roman; Gary Stanley Seligman; Mike Schippers

It is well known that systematic within-chip dimension (CD) errors can strongly influence product yield and performance, especially in the case of microprocessors. It has been shown that this across chip linewidth variation (ACLV) dominates the CD error budge, and is comprised of multiple systematic and random effects, including substrate reflectivity, reticle CD errors, feature proximity, and lens aberrations. These effects have material, equipment, and process dependencies, with the results being that significant ACLV differences between nominally identical tools/processes can in some cases be observed. We present here a new analysis approach which allows for optimization of exposure/defocus conditions to minimize overall CD errors for a given process. Emphasis is on control of [(mean) + 3 sigma] of CD errors for a given exposure/defocus conditions. Input metrology data is obtained from electrical resistance probing, and data is presented for multiple 248 nm DUV processes and tools with CD ground rules ranging from 180 nm to 140 nm.

Collaboration


Dive into the Lloyd C. Litt's collaboration.

Researchain Logo
Decentralizing Knowledge