Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kevin D. Lucas is active.

Publication


Featured researches published by Kevin D. Lucas.


26th Annual International Symposium on Microlithography | 2001

Model-based OPC for first-generation 193-nm lithography

Kevin D. Lucas; James C. Word; Geert Vandenberghe; Staf Verhaegen; Rik Jonckheere

The first 193 nm lithography processes using model-based OPC will soon be in production for 0.13 micrometer technology semiconductor manufacturing. However, the relative immaturity of 193 nm resist, etch and reticle processes places considerable strain upon the OPC software to compensate increased non-linearity, proximity bias, corner rounding and line-end pullback. We have evaluated three leading model-based OPC software packages with 193 nm lithography on random logic poly gate designs for the 0.13 micrometer generation. Our analysis has been performed for three different OPC reticle write processes, two leading 193 nm resists and multiple illumination conditions. The results indicate that the maturity of the model-OPC software tools for 193 nm lithography is generally good, although specific improvements are recommended.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Practicing extension of 248-nm DUV optical lithography using trim-mask PSM

Michael E. Kling; Nigel Cave; Bradley J. Falch; Chong-Cheng Fu; Kent G. Green; Kevin D. Lucas; Bernard J. Roman; Alfred J. Reich; John L. Sturtevant; Ruiqi Tian; Drew R. Russell; Linard Karklin; Yao-Ting Wang

It is becoming increasingly clear that semiconductor manufacturers must rise to the challenge of extending optical microlithography beyond what is forecast by the current SIA roadmap. Capabilities must be developed that allow the use of conventional exposure methods beyond their designed capabilities. This is driven in part by the desire to keep up with the predictions of Moores law. Additional motivation for implementing optical extension methods is provided by the need for workable alternatives in the event that manufacturing capable post-optical lithography is delayed beyond 2003. Major programs are in place at semiconductor manufacturers, development organization, and EDA software providers to continue optical microlithography far past what were once thought to be recognized limits. This paper details efforts undertaken by Motorola to produce functional high density silicon devices with sub-eighth micron transistor gates using DUV microlithography. The preferred enhancement technique discussed here utilizes complementary or dual-exposure trim-mask PSM which incorporates a combined exposure of both Levenson hard shifter and binary trim masks.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Novel design of att-PSM structure for extreme-ultraviolet lithography and enhancement of image contrast during inspection

Sang-In Han; James R. Wasson; Pawitter J. S. Mangat; Jonathan L. Cobb; Kevin D. Lucas; Scott Daniel Hector

Attenuated Phase Shift Masks (Att-PSM) have been actively investigated and developed for conventional optical lithography to enhance the lithographic performance. In this paper, Att-PSM for Extreme Ultraviolet Lithography (EUVL) is compared to binary EUVL masks through simulation. Additionally, a new structural design for EUVL Att-PSM that is intended to enhance the image contrast during the inspection is also presented. Aerial image simulation for 50 and 35-nm wide contact holes was performed using an internally developed optical projection lithography simulator. Analysis of phase shift and attenuation for various combinations of Att-PSM layers was also done using an internally developed simulator based on optical multilayer thin film theory. The results of aerial image simulation agree with previously published results in that Att-PSM for EUVL provide steeper edge profile and higher peak intensity compared to the binary EUVL mask. These enhanced aerial images provide greater exposure latitude and 28 percent to 80 percent greater depth of focus for Att-PSM compared to binary masks for printing contacts. The simulations were also used to set initial targets for phase and reflectance control of the PSM stack for 35-nm contacts. Mean reflectance between 3 and 6 percent and phase of 180 +/- 10 degrees result in significantly larger DOF than for binary masks. The prototype structure simulated for an EUVL Att-PSM consists of an upper dielectric layer (SiON) and a lower metal layer (TaN or Cr) on top of Mo/Si multilayer mirror. With this dual layer scheme, satisfying the optical requirements is easier than with a single layer structure because accurate control of phase shift and attenuation is possible by controlling the thickness of both the upper and lower layers. Obtaining the desired phase shift and transmission using a single layer is difficult. The advantage of having a dielectric (SiON) top layer is that the thickness of dielectric layer can be optimized to enhance the image contrast at inspection wavelength (normally DUV) as well as to provide the desired phase shift at exposure wavelength (13.4-13.5nm). Another advantage of Att-PSM for EUVL is the reduced height of patterned structure on the multilayer mirror which provides a relative advantage in resolution by reducing the image blur caused by the shadowing effects of the taller absorber stack.


Metrology, inspection, and process control for microlothoggraphy. Conference | 2001

Characterization of optical proximity correction features

John A. Allgair; Michelle Ivy; Kevin D. Lucas; John L. Sturtevant; Richard C. Elliott; Chris A. Mack; Craig W. MacNaughton; John Miller; Mike Pochkowski; Moshe E. Preil; John C. Robinson; Frank Santos

One-dimensional linewidth alone is an inadequate metric for low-k1 lithography. Critical Dimension metrology and analysis have historically focused on 1-dimensional effects but with low-k1 lithography is has increasingly been found that the process window for acceptable imaging of the full 2D structure is more limited than the process window for CDs alone. The shape and area of the feature have become as critical to the proper patterning as the width. The measurement and analysis of Critical Shape Difference (CSD) of patterned features must be an integral part of process development efforts. Adoption of optical proximity correction (OPC) and other Optical Extension Technologies increases the need for understanding specific effects through the pattern transfer process. Sub-resolution features on the mask are intended to compensate the pattern so that the resulting etched features most accurately reflect the designers intent and provide the optimum device performance. A method for quantifying the Critical Shape Difference between the designers intent, OPC application, mask preparation, resist exposure and pattern etch has been developed. This work focuses on overlaying features from the various process stages and using CSD to quantify the regions of overlap in order to assess OPC performance. Specific examples will demonstrate the gap in current 1-D analysis techniques.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Monte Carlo method for highly efficient and accurate statistical lithography simulations

Sergei V. Postnikov; Kevin D. Lucas; Karl Wimmer; Vladimir V. Ivin; Andrey Rogov

Recent years have shown a strong increase in the use of statistical lithography error analysis for process tuning and in making technology choices. Simulation has shown it can play an important role in this area by accurately predicting experimental critical dimension (CD) distributions. Earlier statistical lithography simulation work was based on the Response Surface Methodology. The response surface is built by simulating CD dependence on input lithography process variables of interest such as focus, dose, mask CD, resist thickness, etc. The process parameters are then sampled from the Gaussian distribution to generate the distribution of the resulting resist CDs. When a large number of input parameters are being considered in order to describe the important experimental variations, the computational runtime is rapidly increased due to the requirements to fully simulate an (N+1)-dimensional response surface, where N is the number of input parameters. The work we present here has improved the speed of statistical lithography simulations through the use of Monte Carlo technique. With this technique, the runtime of the simulations is independent of the number of input parameters. The technique can be used for 1D or 2D simulations. We present results benchmarked with 130 nm process data showing the usefulness, runtime improvements and accuracy of this method. We have also used Variable Threshold Resist model (VTRM) in conjunction with the Monte Carlo technique. VTRM was calibrated against experimental focus-exposure matrices at varying line width and pitch. The use of VTRM greatly improves the accuracy of the statistical results by the virtue of establishing a good fit to the experimental data, which can be quantified by the root mean squares of residuals. VTRM also significantly speeds up the computation, since it uses only aerial image calculation as opposed to full resist modeling. Simulation results produced by using VTRM closely match the experimental results through a range of pitches, mask line widths and various illumination conditions.


Optical Microlithography XVI | 2003

ArF solutions for low-k 1 back-end imaging

Vincent Wiaux; Patrick K. Montgomery; Geert Vandenberghe; Philippe Monnoyer; Kurt G. Ronse; Will Conley; Lloyd C. Litt; Kevin D. Lucas; Jo Finders; Robert John Socha; Douglas Van Den Broeke

The requirements stated in the ITRS roadmap for back-end-of-line imaging of current and future technology nodes are very aggressive. Therefore, it is likely that high NA in combination with enhancement techniques will be necessary for the imaging of contacts and trenches, pushing optical lithography into the low-k1 regime. In this paper, we focus more specifically on imaging solutions for contact holes beyond the 90 nm node using high NA ArF lithography, as this is currently seen as one of the major challenges in optical lithography. We investigate the performance of various existing enhancement techniques in order to provide contact holes imaging solutions in a k1 range from 0.35 to 0.45, using the ASML PAS5500/1100 0.75NA ArF scanner installed at IMEC. For various resolution enhancement techniques (RET), the proof of concept has been demonstrated in literature. In this paper, we propose an experimental one-to-one comparison of these RET’s with fixed CD target, exposure tool, lithographic process, and metrology. A single exposure through pitch (dense through isolated) printing solution is preferred and is the largest challenge. The common approach using a 6% attenuated phase-shifted mask (attPSM) with a conventional illumination fails. The advantages and drawbacks of other techniques are discussed. High transmission (17%) attenuated phase shift, potentially beneficial for part of the pitch range, requires conflicting trade-offs when looking for a single exposure through pitch solution. More promising results are obtained combining a BIM or a 6% attPSM with assist slots and off-axis illumination, yielding a depth of focus (DOF) at 8% exposure latitude (EL) greater than 0.31 μm from 200 nm pitch through isolated. Chromeless phase lithography (CPL) is also discussed with promising results obtained at the densest pitch. At a 0.4 k1, an experimental extrapolation to 0.85NA demonstrates that a pitch of 180 nm can be resolved with 0.4 μm DOF at 8% EL. For all of these imaging solutions, various metrics are studied to compare printing performance. In addition to process latitude, we consider forbidden pitches, sidelobes printability, and mask error enhancement factor (MEEF).


26th Annual International Symposium on Microlithography | 2001

193-nm contact photoresist reflow feasibility study

Kevin D. Lucas; Mark Slezak; Monique Ercken; Frieda Van Roey

The patterning of very small contact hole features for the 130nm and 100nm device generations will be a difficult challenge for 193nm lithography. The depth of focus for small contacts is currently inadequate for a manufacturable process that includes both dense and isolated pitches. As higher NA 193nm scanners are not expected to improve focus margins considerably, other contact patterning methods are required which improve processing margins. In this work, we study the potential for contact photoresist reflow to be used with 193nm photoresists to increase process windows of small contact dimensions.


Emerging Lithographic Technologies VII | 2003

Rigorous EUV mask simulator using 2D and 3D waveguide methods

Zhengrong Zhu; Kevin D. Lucas; Jonathan L. Cobb; Scott Daniel Hector; Andrzej J. Strojwas

EUV lithography at 13nm wavelengths will require the use of reflective multi-layer mask substrates with a patterned absorbing top layer. As the height of the absorber is many times the wavelength of the exposure radiation, EUV masks are true topographical entities. Therefore, scalar Frauenhofer diffraction simulations are not completely valid and accurate. Rigorous solution of Maxwells equations in the topography is necessary to accurately predict many of the lithographic effects of these masks. Additionally, the interactions of the non-vertical radiation reflectance from the multilayer stack with the absorber causes further non-intuitive lithographic results which need to be understood and optimized. To further the rigorous understanding of EUV mask effects, we have extended existing 2D and 3D rigorous simulators to model EUV lithography diffraction. These simulators, METRO and METROPOLE-3D respectively, use the waveguide method for fast and accurate computation of topographical mask structures. Modifications of these simulators enable an order of magnitude speedup in the calculation of results containing large numbers of EUV multilayers, improve mask dimension modeling accuracy and enable accurate modeling of the non-normal EUV source illumination through a range of pitch values. Rigorous illumination and multilayer defect analysis results will also be shown. Additional comparisons to recently published rigorous EUV simulations results and impressive runtime results on standard desktop workstations are presented. The results show that for EUV lithography the best focus position and the symmetry of the aerial image intensity profile with respect to best focus is affected by the pattern pitch.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Development of a sub-100-nm integrated imaging system using chromeless phase-shifting imaging with very high NA KrF exposure and off-axis illumination

John S. Petersen; Will Conley; Bernard J. Roman; Lloyd C. Litt; Kevin D. Lucas; Wei Wu; Douglas Van Den Broeke; J. Fung Chen; Thomas L. Laidig; Kurt E. Wampler; David J. Gerold; Robert John Socha; Judith van Praagh; Richard Droste

Examining features of varying pitch imaged using phase-shifting masks shows a pitch dependence on the transmission best suited for optimum imaging. The reason for this deals with the relative magnitude of the zero and higher diffraction orders that are formed as the exposing wavelength passes through the plurality of zero and 180-degree phase-shifted regions. Subsequently, some of the diffraction orders are collected and projected to form the image of the object. Chromeless Phase-Shift Lithography (CPL) deals with using halftoning structures to manipulate these relative magnitudes of these diffraction orders to ultimately construct the desired projected image. A key feature of CPL is that with the ability to manipulate the diffraction orders, a single weak phase-shifting mask can be made to emulate any weak phase-shifting mask and therefore the optimal imaging condition of any pattern can be placed on a single mask regardless of the type of weak phase-shifter that produces that result. In addition, these structures are used to render the plurality of size, shape and pitch such that the formed images produce their respective desired size and shape with sufficient image process tolerance. These images are typically made under identical exposure conditions, but not limited to single exposure condition. These halftoning structures can be used exterior, as assist features, or interior to the primary feature. These structures can range in transmission from 0% to 100% and they can be phase-shifted relative to the primary features or not. Thus CPL deals with the design, layout, and utilization of transparent and semi-transparent phase-shift masks and their use in an integrated imaging solution of exposure tool, mask and the photoresist recording media. This paper describes the method of diffraction matching, provides an example and reviews some experimental data using high numerical aperture KrF exposure.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Design, reticle, and wafer OPC manufacturability for the 0.18-μm lithography generation

Kevin D. Lucas; Martin McCallum; Bradley J. Falch; James L. Wood; Franklin D. Kalk; Robert K. Henderson; Drew R. Russell

2D optical proximity correction (OPC) will be a requirement for patterning the 0.18 micrometers lithographic generation with current 0.6NA 248nm wavelength toolsets. This paper analyzes the process transformation of 2D OPC shapes between the design, reticle and wafer stages of patterning 0.18 micrometers random logic circuits. High resolution reticle SEM photos showcase reticle patterning non-linearities which must be understood to fully optimize OPC designs. Experiment and tuned lithography simulation are used to highlight the errors which can occur if these non-linearities are ignored. Significant differences are observed between OPC shapes for brightfield and darkfield features. Comparisons between OPC shapes patterned on electron-beam and optical-laser reticle writing tools are also provided as is a look ahead to the OPC requirements of the 0.15 micrometers generation.

Collaboration


Dive into the Kevin D. Lucas's collaboration.

Top Co-Authors

Avatar

Will Conley

Freescale Semiconductor

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge