Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Y. F. Chong is active.

Publication


Featured researches published by Y. F. Chong.


Applied Physics Letters | 2000

Annealing of ultrashallow p+/n junction by 248 nm excimer laser and rapid thermal processing with different preamorphization depths

Y. F. Chong; Kin Leong Pey; Andrew Thye Shen Wee; Alex See; Lap Chan; Y. F. Lu; Wendong Song; L. H. Chua

Ultrashallow p+/n junctions formed by B+-ion implantation and annealed by spike rapid thermal annealing (RTA) or laser annealing were studied. The effect of the preamorphizing depth on the redistribution of boron atoms after annealing has also been investigated. Our results show that for ultrashallow junctions formed by ultra-low-energy ion implantation and spike RTA, the depth of the preamorphizing implant has very little impact on the junction depth. By optimizing the laser fluence and preamorphization depth, a highly activated, ultrashallow, and abrupt junction can be obtained using a 248 nm excimer laser. The secondary-ion-mass spectrometry results clearly indicate that a step-like profile with a junction depth of 370 A (for a B+ implant at 1 keV) can be formed with a single-pulse laser irradiation at 0.5 J/cm2.


Applied Physics Letters | 2006

Dopant distribution in the recrystallization transient at the maximum melt depth induced by laser annealing

K. K. Ong; K. L. Pey; Pooi See Lee; Andrew Thye Shen Wee; Xu Wang; Y. F. Chong

Pileup of boron atoms near the maximum melt depth in bulk silicon and silicon-on-insulator (SOI) substrates upon laser annealing (LA) was studied. The results show that boron atoms accumulate near the maximum melt depth in shallow melting and increases with increasing laser pulses. The pileup is found to be related to the recrystallization behavior of the melted silicon during LA and occurs at a recrystallization transient, RT0, of about 10nm from the maximum melt depth in both SOI and bulk silicon substrates. An abrupt recrystallization process in preamorphized silicon, on the other hand, suppresses the formation of the boron pileup during LA.


Journal of Applied Physics | 2002

Control of transient enhanced diffusion of boron after laser thermal processing of preamorphized silicon

Y. F. Chong; Kin Leong Pey; A. T. S. Wee; T. Osipowicz; Alex See; Lap Chan

In this article we report the role of excess interstitials in the end-of-range region in transient enhanced diffusion of boron during annealing of laser-processed samples. The results show that although the amorphous layer in preamorphized silicon can be completely annealed by laser irradiation, the end-of-range damages were not sufficiently annealed. The end-of-range region contains a supersaturation of interstitial defects that enhance the diffusion of boron during a post-laser processing anneal. It is found that the transient enhanced diffusion is significantly suppressed when the melt depth is extended beyond the amorphous layer such that the interstitial dose in the region adjacent to the laser-melted layer is minimized. In this way, the abruptness of laser-processed ultrashallow junctions can be maintained upon further annealing at moderately high temperatures. Cross-sectional transmission electron microscopy shows that a virtually defect-free regrown layer is obtained by overmelting beyond the amor...


Applied Physics Letters | 2002

Laser-induced amorphization of silicon during pulsed-laser irradiation of TiN/Ti/polycrystalline silicon/SiO2/silicon

Y. F. Chong; Kin Leong Pey; A. T. S. Wee; Michael O. Thompson; Chih Hang Tung; Alex See

In this letter, we report on the complex solidification structures formed during laser irradiation of a titanium nitride/titanium/polycrystalline silicon/silicon dioxide/silicon film stack. Due to enhanced optical coupling, the titanium nitride/titanium capping layer increases the melt depth of polycrystalline silicon by more than a factor of 2. It is found that the titanium atoms diffuse through the entire polycrystalline silicon layer during irradiation. Contrary to the expected polycrystalline silicon growth, distinct regions of polycrystalline and amorphous silicon are formed instead. Possible mechanisms for the formation of these microstructures are proposed.


Applied Physics Letters | 2000

Liquid-phase epitaxial growth of amorphous silicon during laser annealing of ultrashallow p+/n junctions

Y. F. Chong; Kin Leong Pey; Yongfeng Lu; Andrew Thye Shen Wee; T. Osipowicz; H. L. Seng; Alex See; Jiyan Dai

We have investigated the effect of laser annealing on the recrystallization of the preamorphized layer during the formation of ultrashallow p+/n junctions. The results from channeling Rutherford backscattering spectrometry clearly indicate that the preamorphized layer has been completely annealed with a single-pulse laser irradiation at 0.5 J/cm2. These data are further verified by high-resolution cross-sectional transmission electron microscopy. It is proposed that the preamorphized layer has recrystallized to a single-crystalline structure via liquid-phase epitaxy. No observable extended defects are present in the recrystallized region after laser annealing.


Applied Physics Letters | 2006

Dopant activation in subamorphized silicon upon laser annealing

K. K. Ong; K. L. Pey; Pooi See Lee; Andrew Thye Shen Wee; Xu Wang; Y. F. Chong

In this letter, the authors study the dopant activation and dopant distribution in a Si+ subamorphized Si (SAI-Si) when subjected to laser annealing (LA). The results show an enhanced boron activation in the SAI-Si in the nonmelt regime as compared to a crystalline Si (c-Si). The enhancement is caused by a vacancy-rich surface generated by the Si+ preimplantation that promotes the incorporation of boron atoms into the substitutional sites. On the other hand, shallow-melt LA produces a similar boron activation in both SAI-Si and c-Si samples due to a melting that consumes the entire as-implanted profile and the vacancy-rich region.


Electrochemical and Solid State Letters | 2004

Silicide formation from laser thermal processing of Ti/Co bilayers

F. L. Chow; Kin Leong Pey; Pooi See Lee; Chih Hang Tung; X. C. Wang; G. C. Lim; Y. F. Chong

A bilayered CoTi silicide structure consisting of an amorphous CoTi silicide and a highly textured CoTi silicide was found after pulsed excimer laser annealing of titanium/cobalt/silicon stack at high fluence of 0.6 J/cm 2 . The highly textured CoTi silicide is monocrystalline and fully coherent with the Si(111) plane of the substrate but has a large amount of microstructural defects. The constitutional supercooling phenomenon is the solidification mechanism responsible for the highly textured CoTi silicide. The incomplete crystallization shown by the presence of the amorphous CoTi silicide is attributed to a high concentration of titanium impurity.


IEEE Electron Device Letters | 2003

Reduction of carrier depletion in p/sup +/ polysilicon gates using laser thermal processing

Y. F. Chong; H.-J.L. Gossmann; M.O. Thompson; K. L. Pey; Andrew Thye Shen Wee; S. Talwar; Lap Chan

A novel laser thermal processing (LTP) technique was used to fabricate p/sup +/-gated MOS capacitors with ultrathin gate oxides. It is found that the introduction of LTP prior to the gate activation anneal increases the carrier concentration at the poly-Si gate/gate oxide interface substantially, as compared to rapid thermal anneal (RTA) alone. Thus, LTP readily reduces the poly-depletion effect in p/sup +/-poly-Si gates. This is achieved without observable gate oxide degradation or boron penetration. Secondary ion mass spectrometry analyzes show that the boron concentration near the gate/gate oxide interface increases significantly after the post-LTP anneal. A possible mechanism for this increase in carrier concentration is the diffusion of boron atoms toward the gate oxide by a complex process known as explosive crystallization.


Applied Physics Letters | 2006

Role of low temperature rapid thermal annealing in post-laser-annealed p-channel metal-oxide-semiconductor field effect transistor

K. K. Ong; Kin Leong Pey; Pooi See Lee; Andrew Thye Shen Wee; Xu Wang; Chih Hang Tung; L. J. Tang; Y. F. Chong

In this letter, the authors study the importance of a low temperature anneal in the removal of crystalline defects resulting from pulsed laser annealing of preamorphized ultrashallow p+∕n junction. Using an additional low thermal budget rapid thermal annealing at 600°C for 60s, suppression of junction leakage current of two orders in a single-pulse laser annealing and one order in a ten-pulse laser annealing is achieved through a reduction of the residual crystalline defects that could not be annihilated by laser annealing. p-channel metal-oxide-semiconductor field effect transistors with good electrical characteristics can be obtained using pulsed laser annealing followed by a low thermal budget rapid thermal annealing.


International Symposium on Microelectronics and Assembly | 2000

Application of excimer laser annealing in the formation of ultrashallow p+/n junctions

Y. F. Chong; Kin Leong Pey; Andrew Thye Shen Wee; Alex See; C. H. Tung; R. Gopalakrishnan; Yongfeng Lu

In this study, we have simulated the melt front and temperature distribution profiles for pre-amorphized Si during laser irradiation. The simulation data show that theoretically, it is possible to melt the whole amorphous layer without melting the underlying crystalline substrate. On the other hand, ultra-shallow p+/n junctions were formed by ultra-low energy boron ion implantation into pre- amorphized silicon substrates. Dopant activation was achieved via spike rapid thermal annealing (RTA) and pulsed excimer laser annealing. Secondary ion mass spectrometry analyses show that a step-like dopant profile can be obtained with a single-pulse laser irradiation. Such a profile is in sharp contrast with the boron concentration profile that is obtained after spike RTA. The cross- sectional transmission electron microscopy images show that the entire pre-amorphized layer has been recrystallized to single-crystalline Si after laser annealing. The results clearly indicate the advantages of employing laser anneal as compared to RTA in the fabrication of highly activated and abrupt ultra-shallow junctions.

Collaboration


Dive into the Y. F. Chong's collaboration.

Top Co-Authors

Avatar

Andrew Thye Shen Wee

National University of Singapore

View shared research outputs
Top Co-Authors

Avatar

Alex See

Chartered Semiconductor Manufacturing

View shared research outputs
Top Co-Authors

Avatar

Pooi See Lee

Nanyang Technological University

View shared research outputs
Top Co-Authors

Avatar

Lap Chan

Chartered Semiconductor Manufacturing

View shared research outputs
Top Co-Authors

Avatar

Yongfeng Lu

University of Nebraska–Lincoln

View shared research outputs
Top Co-Authors

Avatar

Chih Hang Tung

Nanyang Technological University

View shared research outputs
Top Co-Authors

Avatar

K. K. Ong

Nanyang Technological University

View shared research outputs
Top Co-Authors

Avatar

Xu Wang

Nanyang Technological University

View shared research outputs
Top Co-Authors

Avatar

A. T. S. Wee

National University of Singapore

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge