Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yoji Ogawa is active.

Publication


Featured researches published by Yoji Ogawa.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Advanced electron-beam writing system EX-11 for next-generation mask fabrication

Toru Tojo; Ryoji Yoshikawa; Yoji Ogawa; Shuichi Tamamushi; Yoshiaki Hattori; Souji Koikari; Hideo Kusakabe; Takayuki Abe; Munehiro Ogasawara; Kiminobu Akeno; Hirohito Anze; Kiyoshi Hattori; Ryoichi Hirano; Shusuke Yoshitake; Tomohiro Iijima; Kenji Ohtoshi; Kazuto Matsuki; Naoharu Shimomura; Noboru Yamada; Hitoshi Higurashi; Noriaki Nakayamada; Yuuji Fukudome; Shigehiro Hara; Eiji Murakami; Takashi Kamikubo; Yasuo Suzuki; Susumu Oogi; Mitsuko Shimizu; Shinsuke Nishimura; Hideyuki Tsurumaki

Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.


Journal of Vacuum Science & Technology B | 1992

Optimization of partially coherent optical system for optical lithography

Soichi Inoue; Tadahito Fujisawa; Shuichi Tamaushi; Yoji Ogawa; Makoto Nakase

A new approach, based on the optimization algorithm of ‘‘simulated annealing,’’ is applied to maximize depth of focus for a partially coherent optical system. Optimization is carried out with practical constraints for optical lithography. A phase contrast lithography, consisting of an annular effective source and an annular phase filter on the pupil, is proposed as a definite result of the optimization procedure.


Photomask and Next-Generation Lithography Mask Technology IX | 2002

Solution for 100 nm: EBM-4000

Yoshiaki Hattori; Kiyoshi Hattori; Kenichi Murooka; Takayuki Abe; Satoshi Yasuda; Taiga Uno; Eiji Murakami; Noriaki Nakayamada; Naoharu Shimomura; Ttsuyoshi Yamashita; Noboru Yamada; Akihiro Sakai; Hirohiko Honda; Toshiaki Shimoyama; Kiyoshi Nakaso; Hideo Inoue; Yoshiaki Onimaru; Keiichi Makiyama; Yoji Ogawa; Tadahiro Takigawa

Optical lithography will be extended down to 65nm to 50 nm. However, a mask with high accurate CD uniformity and resolution enhancement technology (RET) such as optical proximity effect correction (OPC) and phase shifting mask (PSM) are required to achieve resolution by exposure wave length. The mask technology is the key of the optical lithography extension. We developed the electron beam mask writer EBM-3000 for 180-150nm design rule 1), 2), and EBM-3500 for 150-130nm design rule 3), to achieve high accuracy CD uniformity mask and small OPC pattern writing. They were variable shaped electron beam mask writing system with continuous moving stage, at 50kV acceleration voltage, and had the functions of multi-pass field shift writing, real-time proximity effect correction, grid matching correction, and automatic adjustment for election optical column.The LSI road map calls for such small minimum feature size as that so close to optical resolution limitation where increasingly complex optical proximity corrections (OPC) as well as extremely good mask CD uniformity are required. What is making the challenge even more difficult is that writing time is exponentially increasing as the shot number is exploding to primarily cope with the complex and voluminous OPC and extremely good CD uniformity requirements. Thus the newly developed electron beam mask lithography system EBM-4000 is designed to overcome all these difficult problems associated with 100nm as well as 70nm node masks. In order to increase throughput, triangle/rectangle beam optical column, high current density/high resolution lens, and high speed DAC amplifiers have been developed. To achieve accurate CD uniformity, foggy electron correction/loading effect correction functions are developed.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

New mask blank handling system for the advanced electron-beam writer

Shusuke Yoshitake; Kenji Ooki; Ryoichi Hirano; Toru Tojo; Yoji Ogawa; Katsuhito Ogura; Teruaki Yamamoto; Masaki Toriumi; Yoshiaki Tada

Meeting the latest requirements of aggressive users for advanced masks for optical lithography will be difficult. In addition, improving the productivity and throughput of advanced masks with high-density pattern data is necessary. To overcome these hurdles, Toshiba and Toshiba Machine have developed a new advanced mask writer, the EX-11, shown in Figure 1. The EX-11 takes measures against airborne contamination before drawing is started. It also employs a standard mechanical interface (SMIF) based on the concept of local cleaning technology. This paper describes the design concept of the new mask blank handling system for the EX-11, and the efficiency of these measures was confirmed by the experimental results.


Journal of Vacuum Science & Technology B | 1991

Advanced e‐beam lithography

Tadahiro Takigawa; H. Wada; Yoji Ogawa; Ryoichi Yoshikawa; I. Mori; Takayuki Abe

The acceleration voltage dependence of electron‐beam (EB) lithography was investigated. A low acceleration voltage is suitable for a mask (reticle) of several magnifications, because the proximity effect correction is not required. A reticle writing system EX‐8 with an acceleration voltage of 12.5 to 20 kV has been developed. A high acceleration voltage is preferable for the direct writing of fine patterns. A direct writing system EX‐7 with a typical acceleration voltage of 40 kV has been developed. A new shaped beam calibration method and a new astigmatism correction method have enabled the EX‐7 to write a pattern with 0.1 μm. High accuracy shaped beam calibration and alignment as required by small patterns were realized for a high acceleration voltage.


international microprocesses and nanotechnology conference | 1997

Evaluation of Shaping Gain Adjustment Accuracy Using Atomic Force Microscope in Variably Shaped Electron-Beam Writing Systems

Shinsuke Nishimura; Hitoshi Sunaoshi; Satoshi Yamasaki; Kiyoshi Hattori; Shuichi Tamamushi; Hirotsugu Wada; Yoji Ogawa

A method for the fine evaluation of shaping gain adjustment, in which resist patterns are measured using an atomic force microscope (AFM), has been explained for the variably shaped electron-beam (EB) writing systems. The shaping gain coefficient was adjusted so that the length between two beams deflected by a shaping deflector is equal to a parameter given to the writing system. For the evaluation of the absolute values of the adjustment, the authors drew line and space patterns on a poly-methyl methacrylate (PMMA) resist. The authors measured the resist height at the shot boundary with an AFM. When the beam size is equal to the shot pitch, the resist height at the shot boundary becomes zero. From the beam size and the shaping-gain shift at which the resist height at the shot boundary becomes zero, beam-size error was calculated. From the beam-size error for various beam sizes, the shaping gain adjustment error of 0.3 nm for the 1 µ m beam size and the shaping-offset error of 6.0 nm have been obtained.


Photomask and X-Ray Mask Technology II | 1995

Reticle flexure influence on pattern positioning accuracy for reticle writing

Ryoichi Hirano; Kazuto Matsuki; Shusuke Yoshitake; Yoshihiko Takahashi; Shuichi Tamamushi; Yoji Ogawa; Toru Tojo

This paper presents a method for estimating the influence of reticle flexure on pattern positioning accuracy, and evaluates the method by measuring patterned reticles. Reticle flexure causes the pattern shift which occurs by stretching or compression of the reticle surface. A height-mapping function of an electron beam (EB) writing system and a measuring machine are used to calculate the pattern shift due to reticle flexure. The bent shape of a reticle on the EB-writing system differs from that on the measuring machine, so that the patten shifts on the two machines are different. The pattern shifts caused by the bent shape difference were excluded from the measurement result of pattern positioning errors. The values of pattern positioning accuracy evaluation parameters, x, y-scaling and orthogonality, are calculated among several reticles (5 inches, 0.09 inches thick). The deviations of these three values are reduced to less than 50% of their uncompensated values.


Japanese Journal of Applied Physics | 1992

Main-Field Stitching Accuracy Analysis in Electron Beam Writing Systems

Hirohito Anze; Shuichi Tamamushi; Eiji Nishimura; Yoji Ogawa; Tadahiro Takigawa

A new evaluation method for main-field positioning error for electron beam (E-Beam) writing systems has been developed. Main-field distortion caused by system-based main-field positioning error such as contamination charging, deflector calibration error, substrate height error and so on is the main factor impairing accuracy in E-Beam writing systems. Main-field distortion after elimination of deflection distortion is classified into four modes. The origin of main-field positioning error can be estimated by investigating the behavior of these four modes of main-field distortion. This method has been applied to the variable shaped beam (VSB), vector scanning, and continuously moving stage E-Beam system. Contamination charging problems in the final lens surface in opposition to substrate and problems in the deflector calibration method have been found. Main-field positioning error of the E-Beam system decreased from 0.1 µm to 0.04 µm after solving the above problems. The evaluation method for main-field positioning error has been proved to be very effective in accuracy improvement of E-Beam systems.


Journal of Vacuum Science & Technology B | 1990

A high accuracy and high throughput electron beam reticle writing system for 16M dynamic random access memory class and beyond devices

Tadahiro Takigawa; Yoji Ogawa; Ryoichi Yoshikawa; K. Koyama; S. Tamamushi; O. Ikenaga; Takayuki Abe; Kiyoshi Hattori; E. Nishimura; H. Kusakabe; H. Wada; H. Nishino; H. Anze; M. Goto; F. Shigemitsu; M. Munakata; K. Shimazaki; S. Watanabe; T. Saito; T. Ilo

A new electron beam reticle writing system has been developed for a 16‐256M dynamic random access memory (DRAM) class reticle pattern making. A continuously moving stage, variable‐shaped beam and vector‐scanning method has been adopted. The acceleration voltage was varied from 20 to 12.5 kV. An acceleration voltage of 15 kV provided proximity effect correction free exposure for reticle patterns larger than 2 μm corresponding to the minimum feature size of 16M DRAM class devices. A single reticle processing autoloader has also been developed for quick turn around time. Parallel processing of data conversion has enabled the system to convert Gaussian beam system data to the new variable shaped beam system data of 10 MB volume in about 20 min.


Photomask and X-Ray Mask Technology II | 1995

Performance improvement in electron-beam reticle writing system

Hirohito Anze; Satoshi Yamasaki; Shuichi Tamamushi; Yoji Ogawa; Eiji Murakami; Ryoichi Hirano; Kazuto Matsuki

Several experiments in order to improve throughput and accuracy have been carried out on electron beam reticle writing system which adopts variably shaped beam, vector scanning, and continuously moving stage. Stage speed optimization process by the stripe is introduced to reduce the writing time loss which arises from constant stage speed through writing a reticle. As a result, writing time decreases to 2/3 on average and the throughput of 2 reticles of 64 Mbit DRAM class per hour can be realized. Substrate clamping configuration for writing and measuring machine affects the substrate flexure and deteriorates the global positioning accuracy. The change of clamping point number form 4 to 3 for each machine improves the reproducibility of global distortion to 47% or more. The multipass writing method is effective to reduce stripe stitching error and fluctuation of the main-field position. In the case of multiplicity of 4, stripe stitching error and fluctuation of the main-field are 20 nm and 13 nm, respectively. The writing time ratio compared with single-pass writing is 1.6 even in 4-pass writing. Therefore, throughput should also be emphasized in view of accuracy improvements.

Collaboration


Dive into the Yoji Ogawa's collaboration.

Researchain Logo
Decentralizing Knowledge