Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yu-Der Chih is active.

Publication


Featured researches published by Yu-Der Chih.


international solid-state circuits conference | 2014

19.4 embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme

Meng-Fan Chang; Jui-Jen Wu; Tun-Fei Chien; Yen-Chen Liu; Ting-Chin Yang; Wen-Chao Shen; Ya-Chin King; Chorng-Jung Lin; Ku-Feng Lin; Yu-Der Chih; Sreedhar Natarajan; Jonathan Chang

Resistive RAM (ReRAM) is a promising nonvolatile memory with low write energy, logic-process compatibility, and compact cell area. The 1T1R ReRAM [1-3] fits embedded applications requiring fast read (RD) access time (T<sub>AC</sub>) and low RD-V<sub>DDMIN</sub>, particularly for devices powered by batteries or energy harvesters. The cross-point ReRAM [4-6] is meant for high capacities with high RD-V<sub>DDMIN</sub> and slow T<sub>AC</sub>. As devices shrink, ReRAMs have higher cell resistance (R) and greater variations in write time and R, which reduces the R-ratio (R<sub>H</sub>/R<sub>L</sub>) between the high-R state (HRS, R<sub>H</sub>) and low-R state (LRS, R<sub>L</sub>). ReRAM also have a high R<sub>L</sub>, which enables a larger voltage drop across ReRAM to reduce write voltage and cell-switch (CS) size. Thus, ReRAM macro designs suffer: (1) small sensing margin (SM), limited RD-V<sub>DDMIN</sub>, and slow T<sub>AC</sub> due to high-R<sub>L</sub> and small R-ratio; (2) increase in energy due to large set DC-current (I<sub>DC-SET</sub>) resulting from wide set-time (T<sub>SET</sub>) distribution. This study develops a swing-sample-andcouple (SSC) voltage-mode sense amplifier (VSA) to overcome (1), enabling 1.8× greater SM for lower RD-V<sub>DDMIN</sub> and 1.7× faster T<sub>AC</sub> across various V<sub>DD</sub>, compared to conventional differential-input (CD) VSAs. To reduce >99% set energy, we use a 4T self-boost-write-termination (SBWT) scheme to cut off I<sub>DC-SET</sub> of faster-T<sub>SET</sub> devices, with an area penalty below 0.5%. A fabricated 28nm 1Mb ReRAM macro achieves T<sub>AC</sub> = 404ns at V<sub>DD</sub> = 0.27V and confirms the I<sub>DC-SET</sub> cut-off by SBWT.


international solid-state circuits conference | 2012

A 0.5V 4Mb logic-process compatible embedded resistive RAM (ReRAM) in 65nm CMOS using low-voltage current-mode sensing scheme with 45ns random read time

Meng-Fan Chang; Che-Wei Wu; Chia-Cheng Kuo; Shin-Jang Shen; Ku-Feng Lin; Shu-Meng Yang; Ya-Chin King; Chorng-Jung Lin; Yu-Der Chih

Numerous low-supply-voltage (VDD) mobile chips, such as energy-harvesting-powered devices and biomedical applications, require low-VDD on-chip nonvolatile memory (NVM) for low-power active-mode access and power-off data storage. However, conventional NVMs cannot achieve low-VDD operation due to insufficient write voltage generated by charge-pumped (CP) circuits at a low VDD, and a lack of low-VDD current-mode sense amplifiers (CSA) [1-4] to overcome read issues in reduced sensing margins, degraded speeds, and insufficient voltage headroom (VHR). Resistive RAM (ReRAM) [4-6] is a promising memory with the advantages of short write time, low write-voltage, and reduced write power compared to Flash and other NVMs. Using a low-VDD CP with relaxed output voltage/current requirements for write operations, ReRAM is a good candidate for on-chip low-VDD NVM if a low-VDD CSA is provided, particularly for frequent-read-seldom-write applications. We develop a body-drain-driven CSA (BDD-CSA) with dynamic BL bias voltage (VBL) and small VHR for larger sensing margins to achieve a lower VDDmin, faster read speed, and better tolerance of read cell current (ICELL) and BL leakage current (IBL-LEAK) variations compared to conventional CSAs. A fabricated 65nm 4Mb ReRAM macro using the BDD-CSA and our CMOS-logic-compatible ReRAM cell [7] achieves 0.5V VDDmin. The BDD-CSA achieves 0.32V VDDmin.


IEEE Journal of Solid-state Circuits | 2013

An Offset-Tolerant Fast-Random-Read Current-Sampling-Based Sense Amplifier for Small-Cell-Current Nonvolatile Memory

Meng-Fan Chang; Shin-Jang Shen; Chia-Chi Liu; Che-Wei Wu; Yu-Fan Lin; Ya-Chin King; Chorng-Jung Lin; Hung-jen Liao; Yu-Der Chih; Hiroyuki Yamauchi

Decreasing read cell current (<i>I</i><sub>CELL</sub>) has become a major trend in nonvolatile memory (NVM). However, a reduced <i>I</i><sub>CELL</sub> leaves the operation of the sense amplifier (SAs) vulnerable to bitline (BL) level offset and SA input offset. Thus, small- <i>I</i><sub>CELL</sub> NVMs suffer from slow read speed or low read yield. In this study, we propose a new current-sampling-based SA (CSB-SA) to suppress the offset due to device mismatch, while maintaining tolerance for insufficient precharge time. These features enable CSB-SA to achieve a read speed 6.3 ×-8.1× faster than previous SAs, for sensing 100 nA <i>I</i><sub>CELLs</sub> on a 2 K-cell bitline. We fabricated a CMOS-logic-compatible, 90 nm, 512 Kb OTP macro, using the CSB-SA. This OTP macro achieves a random access time of 26 ns for reading sub-200 nA <i>I</i><sub>CELL</sub>. Measurements confirm that this 90 nm CSB-SA is also capable of sub-100 nA sensing.


international solid-state circuits conference | 2013

Cycling endurance optimization scheme for 1Mb STT-MRAM in 40nm technology

Hung-Chang Yu; Kai-Chun Lin; Ku-Feng Lin; Chin-Yi Huang; Yu-Der Chih; Tong-Chern Ong; Jonathan Chang; Sreedhar Natarajan; Luan C. Tran

Spin-transfer-torque (STT) MRAM is considered as a good candidate for next-generation memory that can replace Flash, SRAM and DRAM as well. As a replacement of SRAM or DRAM, write endurance more than 1012 cycles is required. However, due to limitation in the reliability of magnetic tunnel junction (MTJ), the required endurance may not be achieved if the MTJ is overstressed by the write voltage. In this paper, a new write-path design with wire-resistance-balance scheme is presented that minimizes the voltage stress on MTJ during write operation for cells near the write buffer. Simulation shows the voltage across MTJ becomes more uniform for cells from top to bottom of array. This new scheme is implemented into 1Mb MRAM test-chip and is fabricated in TSMC 40nm low-power process. Cycling testing shows that write endurance can be improved as compared to the previous design.


IEEE Journal of Solid-state Circuits | 2013

A Low-Voltage Bulk-Drain-Driven Read Scheme for Sub-0.5 V 4 Mb 65 nm Logic-Process Compatible Embedded Resistive RAM (ReRAM) Macro

Meng-Fan Chang; Che-Wei Wu; Chia-Cheng Kuo; Shin-Jang Shen; Sue-Meng Yang; Ku-Feng Lin; Wen-Chao Shen; Ya-Chin King; Chorng-Jung Lin; Yu-Der Chih

ReRAM is a promising candidate for on-chip low-VDD NVM due to its superior write behavior, particularly for frequent-read-seldom-write applications. Nonetheless, this approach requires a robust and fast low-VDD read scheme. Current-mode sense amplifiers (CSA) are commonly used in NVM; however, they suffer low-yield and degraded speed at a low VDD, due to an insufficient on-off current difference ( I ON-OFF) and the need for large voltage head room (VHR). This study developed a body-drain-driven (BDD) read scheme to suppress VHR and provide resistance-aware dynamic bitline bias voltage for increasing I ON-OFF. The proposed scheme achieved 2.1 × faster read speed, > 1.7× higher yield, and > 2× longer BL length at 0.5 V VDD than conventional CSAs. A fabricated 65 nm 4 Mb ReRAM macro using the proposed read scheme and our logic-compatible ReRAM cell achieved a 45 ns random read access time at VDD=0.5 V. The proposed sensing scheme also achieved a 0.32 V VDDmin.


IEEE Journal of Solid-state Circuits | 2015

An Asymmetric-Voltage-Biased Current-Mode Sensing Scheme for Fast-Read Embedded Flash Macros

Meng-Fan Chang; Yu-Fan Lin; Yen-Chen Liu; Jui-Jen Wu; Shin-Jang Shen; Wu-Chin Tsai; Yu-Der Chih

Current-mode sense amplifiers (CSA) are commonly used in eNVM, because of their fast read speed at large bitline (BL) loads and small cell read currents. However, conventional CSAs are unable to achieve fast random read access time (TAC), due to significant summed input offsets (IOS-SUM) at read-path. This work proposes a calibration-based asymmetric-voltage-biased CSA (AVB-CSA) to suppress IOS-SUM and enable high-speed sensing without the need for run-time offset-cancellation operations. This work then fabricated two 90 nm AVB-CSA 1 Mb Flash testchips (with and without BL-length test-modes). The AVB-CSA eFlash macros with 512 rows achieved TAC of 3.9 ns at nominal VDD (1.2 V). The BL-length test-mode experiments confirmed a 1.53× improvement in TAC using AVB-CSA with a BL-length of 2048-rows operating at VDD=0.8 V.


international solid-state circuits conference | 2011

An offset-tolerant current-sampling-based sense amplifier for Sub-100nA-cell-current nonvolatile memory

Meng-Fan Chang; Shin-Jang Shen; Chia-Chi Liu; Che-Wei Wu; Yu-Fan Lin; Shang-Chi Wu; Chia-En Huang; Han-Chao Lai; Ya-Chin King; Chorng-Jung Lin; Hung-jen Liao; Yu-Der Chih; Hiroyuki Yamauchi

Decreasing read cell current (ICELL) has become a key trend in nonvolatile memory (NVM). This is not only due to device size and VDD scaling while keeping the same threshold voltage (VTH), but also to the growing spread of the following applications: 1) multiple-level-cell (MLC) [1–2] to achieve smaller area-per-bit; 2) lower-VDD [3] to save power consumption; 3) Logic-process-compatible onetime programming memories (OTP) for embedding into mobile chips. A smaller ICELL leaves the sense amplifiers (SAs) operation vulnerable to 1) bitline (BL) level offset due to noise, bias and load (CBL) mismatches and 2) VTH variation. As device size and BL-pitch is continually scaled down, the above factors have become major showstopper for SAs. To tolerate these offsets, small-ICELLNVMs suffer from slow read speed or high read fail probability. Thus, a more largely offset tolerant SA is a prerequisite to achieve faster read speeds. In this study, we propose a new offset tolerant current-sampling-based SA (CSB-SA) to achieve 7× faster read speed than previous SAs for sensing small ICELL. A fabricated 90nm 512Kb OTP macro, using the CSB-SA and our CMOS-logic-compatible OTP cell [4], achieves 26ns macro random access time for reading sub-200nA ICELL. Measurements also confirmed that this 90nm CSB-SA could achieve sub-100nA sensing.


IEEE Transactions on Electron Devices | 2015

A New High-Density Twin-Gate Isolation One-Time Programmable Memory Cell in Pure 28-nm CMOS Logic Process

Woan Yun Hsiao; Ping Chun Peng; Tzong-Sheng Chang; Yu-Der Chih; Wu-Chin Tsai; Meng-Fan Chang; Tun-Fei Chien; Ya-Chin King; Chrong-Jung Lin

A new and compact high-k dielectric breakdown one-time programmable (OTP) cell in pure 28-nm high-k metal gate (HKMG) process is proposed. By adopting a self-aligned twin-gate isolation (TGI) made by merged gate spacer, the new OTP cell can operate independently with a very small cell area. Fabricated by a pure 28-nm HKMG CMOS logic process, this OTP cell successfully achieves an ultrasmall cell size of 0.0441 μm2 on 28-nm HKMG CMOS logic platform. Using high-k dielectric breakdown as its program mechanism, the antifuse TGI OTP memory has more than three orders of ON/OFF read window with a low program voltage of 4 V in 20 μs. Furthermore, a highly density 64-kbit TGI OTP array has been fabricated and successfully demonstrates the new superior isolation and reliability performances.


IEEE Journal of Solid-state Circuits | 2015

Low

Meng-Fan Chang; Jui-Jen Wu; Tun-Fei Chien; Yen-Chen Liu; Ting-Chin Yang; Wen-Chao Shen; Ya-Chin King; Chrong Jung Lin; Ku-Feng Lin; Yu-Der Chih; Jonathan Chang

The designs of resistive RAM (ReRAM) macros are limited by 1) a small sensing margin, limited read- VDDmin, and slow read access time (TAC) caused by a high cell-resistance and small cell-resistance-ratio (R-ratio) and 2) poor power integrity and increased energy waste attributable to a large SET dc-current (IDC-SET) resulting from the wide distribution of write (SET)-times (TSET). This study proposes a swing-sample-and-couple (SSC) voltage-mode sense amplifier (VSA) to enable an approximately 1.8+x greater sensing margin for lower VDD min and a 1.7+x faster read speed across a wide VDD range, compared with conventional VSAs. A 4T self-boost-write-termination (SBWT) scheme is proposed to cut off the IDC-SET of devices with a rapid T SET. The SBWT scheme reduces 99+% of the IDC-SET with an area penalty below 0.5%. A fabricated 512 row 28 nm 1 Mb ReRAM macro achieved TAC = 404 ns when VDD=0.27 V and confirmed the IDC-SET cutoff by the SBWT.


IEEE Journal of the Electron Devices Society | 2014

{\rm VDDmin}

Yu-Cheng Liao; Hsin-Wei Pan; Min-Che Hsieh; Tzong-Sheng Chang; Yu-Der Chih; Ming-Jinn Tsai; Chrong Jung Lin; Ya-Chin King

In this paper, a fully logic compatible via diode is developed for high-density resistive random access memory (RRAM) array applications. This novel via diode is realized by advanced 28nm CMOS technology with Cu damascene via. The device is stacked between a top Cu via and a bottom Cu metal with a composite layer of TaN/TaON based dielectric film. An asymmetric current-voltage characteristic in this MIM structure provides a forward/reverse current ratio up to 106. In a cross-point RRAM array, the suppression of sneak current path by incorporating this via diode enables array size to be greatly expended. Via diode provides an excellent solution for high-density embedded nonvolatile memory applications in the nano-scale CMOS technology.

Collaboration


Dive into the Yu-Der Chih's collaboration.

Top Co-Authors

Avatar

Meng-Fan Chang

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Ya-Chin King

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Shin-Jang Shen

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Chorng-Jung Lin

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Jui-Jen Wu

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Yen-Chen Liu

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Yu-Fan Lin

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Che-Wei Wu

National Tsing Hua University

View shared research outputs
Researchain Logo
Decentralizing Knowledge