Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Alan D. Brodie is active.

Publication


Featured researches published by Alan D. Brodie.


Proceedings of SPIE | 2009

REBL nanowriter: Reflective Electron Beam Lithography

Paul Petric; Chris Bevis; Alan D. Brodie; Allen Carroll; Anthony Cheung; Luca Grella; Mark A. McCord; Henry Percy; Keith Standiford; Marek Zywno

REBL (Reflective Electron Beam Lithography) is being developed for high throughput electron beam direct write maskless lithography. The system is specifically targeting 5 to 7 wafer levels per hour throughput on average at the 45 nm node, with extendibility to the 32 nm node and beyond. REBL incorporates a number of novel technologies to generate and expose lithographic patterns at estimated throughputs considerably higher than electron beam lithography has been able to achieve as yet. A patented reflective electron optic concept enables the unique approach utilized for the Digital Pattern Generator (DPG). The DPG is a CMOS ASIC chip with an array of small, independently controllable cells or pixels, which act as an array of electron mirrors. In this way, the system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of the DPG to achieve the capability of high throughput for sparse pattern wafer levels. The exposure method utilized by the DPG was emulated on a Vistec VB-6 in order to validate the gray level exposure method used in REBL. Results of these exposure tests are discussed.


Journal of Vacuum Science & Technology B | 2009

REBL: A novel approach to high speed maskless electron beam direct write lithography

Paul F. Petric; Chris Bevis; Allen M. Carroll; Henry Percy; Marek Zywno; Keith Standiford; Alan D. Brodie; Noah Bareket; Luca Grella

The system concepts used in a novel approach for a high throughput maskless lithography system called reflective electron beam lithography (REBL) are described. The system is specifically targeting five to seven wafer levels per hour throughput on average at the 45nm node, with extendibility to the 32nm node and beyond. REBL incorporates a number of novel technologies to generate and expose lithographic patterns at estimated throughputs considerably higher than electron beam lithography has been able to achieve as yet. A patented reflective electron optic concept enables the unique approach utilized for the digital pattern generator (DPG). The DPG is a complementary metal oxide semiconductor application specific integrated circuit chip with an array of small, independently controllable metallic cells or pixels, which act as an array of electron mirrors. In this way, the system is capable of generating the pattern to be written using massively parallel exposure by ∼1×106 beams at extremely high data rates ...


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2010

Reflective electron beam lithography: A maskless ebeam direct write lithography approach using the reflective electron beam lithography concept

Paul F. Petric; Chris Bevis; Mark A. McCord; Allen M. Carroll; Alan D. Brodie; Upendra Ummethala; Luca Grella; Anthony Cheung; Regina Freed

Reflective electron beam litography (REBL) utilizes several novel technologies to generate and expose lithographic patterns at throughputs that could make ebeam maskless lithography feasible for high volume manufacturing. The REBL program was described in a previous article [P. Petric et al., J. Vac. Sci. Technol. B 27, 161 (2009)] 2 years ago. This article will review the system architecture and the progress of REBL in the past 2 years. The main technologies making REBL unique are the reflective electron optics, the rotary stage, and the dynamic pattern generator (DPG). Changes in how these concepts have been implemented in a new design will be discussed. The main disadvantage of today’s electron beam direct write is low throughput; it takes many tens of hours to expose a 300 mm wafer today using ebeam lithography. The projected system throughput performance with the integrated technology of the reflective optics, DPG, and a multiple wafer rotary stage will be shown incorporating the performance data for the new column design.Reflective electron beam litography (REBL) utilizes several novel technologies to generate and expose lithographic patterns at throughputs that could make ebeam maskless lithography feasible for high volume manufacturing. The REBL program was described in a previous article [P. Petric et al., J. Vac. Sci. Technol. B 27, 161 (2009)] 2 years ago. This article will review the system architecture and the progress of REBL in the past 2 years. The main technologies making REBL unique are the reflective electron optics, the rotary stage, and the dynamic pattern generator (DPG). Changes in how these concepts have been implemented in a new design will be discussed. The main disadvantage of today’s electron beam direct write is low throughput; it takes many tens of hours to expose a 300 mm wafer today using ebeam lithography. The projected system throughput performance with the integrated technology of the reflective optics, DPG, and a multiple wafer rotary stage will be shown incorporating the performance data for...


Journal of Micro-nanolithography Mems and Moems | 2004

Low-voltage electron beam lithography resist processes: top surface imaging and hydrogen silisesquioxane bilayer

Andrew Thomas Jamieson; C. Grant Willson; Yautzong E. Hsu; Alan D. Brodie

A hydrogen silisesquioxane (HSQ) bilayer process and a top surface imaging (TSI) process are investigated for application as low-voltage electron beam resist systems. Namatsu, van Delft, and others have reported printing exceptionally small features using high-voltage electron beam exposure of HSQ at high-exposure doses (~2000 µC/cm2 at 100 kV). The shallow penetration depth of low-voltage electrons results in greatly reduced dose requirements, and smooth, high-resolution images are generated at 1 kV with an exposure dose of less than 60 µC/cm2. HSQs high silicon content enable it to be used in a bilayer form utilizing reactive ion etching with an oxygen plasma, thus generating high aspect ratio images. TSI has been studied in the past by numerous researchers at low voltages using various TSI schemes. We investigate the use of a chemically amplified TSI resist process based on poly (t-BOC-hydroxystyrene). The effect of base quencher loading in the resist formulation on line edge roughness and resolution is investigated, and is found to have a dramatic influence. High-resolution, high aspect ratio images are printed down to 40 nm, and exhibit only moderate levels of line edge roughness. Furthermore, proximity effects at 1, 2, and 3 kV are examined and compared to simulation.


Proceedings of SPIE | 2013

Reflective electron beam lithography: lithography results using CMOS controlled digital pattern generator chip

Thomas Gubiotti; Jeff Sun; Regina Freed; Francoise Kidwingira; Jason Yang; Chris Bevis; Allen Carroll; Alan D. Brodie; William M. Tong; Shy-Jay Lin; Wen-Chuan Wang; L. Haspeslagh; Bart Vereecke

Maskless electron beam lithography can potentially extend semiconductor manufacturing to the 10 nm logic (16 nm half pitch) technology node and beyond. KLA-Tencor is developing Reflective Electron Beam Lithography (REBL) technology targeting high-volume 10 nm logic node performance. REBL uses a novel multi-column wafer writing system combined with an advanced stage architecture to enable the throughput and resolution required for a NGL system. Using a CMOS Digital Pattern Generator (DPG) chip with over one million microlenses, the system is capable of maskless printing of arbitrary patterns with pixel redundancy and pixel-by-pixel grayscaling at the wafer. Electrons are generated in a flood beam via a thermionic cathode at 50-100 keV and decelerated to illuminate the DPG chip. The DPG-modulated electron beam is then reaccelerated and demagnified 80-100x onto the wafer to be printed. Previously, KLA-Tencor reported on the development progress of the REBL tool for maskless lithography at and below the 10 nm logic technology node. Since that time, the REBL team has made good progress towards developing the REBL system and DPG for direct write lithography. REBL has been successful in manufacturing a CMOS controlled DPG chip with a stable charge drain coating and with all segments functioning. This DPG chip consists of an array of over one million electrostatic lenslets that can be switched on or off via CMOS voltages to pattern the flood electron beam. Testing has proven the validity of the design with regards to lenslet performance, contrast, lifetime, and pattern scrolling. This chip has been used in the REBL demonstration platform system for lithography on a moving stage in both PMMA and chemically amplified resist. Direct imaging of the aerial image has also been performed by magnifying the pattern at the wafer plane via a mag stack onto a YAG imaging screen. This paper will discuss the chip design improvements and new charge drain coating that have resulted in a functional DPG chip and will evaluate the current chip performance on the REBL system. Print results for line/space and device test patterns at the 100nm node will be presented.


Applied Physics Letters | 2013

Nanoclusters of MoO3−x embedded in an Al2O3 matrix engineered for customizable mesoscale resistivity and high dielectric strength

William M. Tong; Alan D. Brodie; Anil U. Mane; Fuge Sun; Francoise Kidwingira; Mark A. McCord; Christopher F. Bevis; Jeffrey W. Elam

We have synthesized a material consisting of conducting metal oxide (MoO3−x) nanoclusters embedded in a high-dielectric-strength insulator (Al2O3) matrix. The resistivity of this material can be customized by varying the concentration of the MoO3−x nanoclusters. The Al2O3 protects the MoO3−x from stoichiometry change, thus conserving the number of carriers and maintaining a high dielectric strength. This composite material is grown by atomic layer deposition, a thin film deposition technique suitable for coating 3D structures. We applied these atomic layer deposition composite films to our 3D electron-optical micro electrical mechanical systems devices and greatly improved their performance.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Hydrogen silsesquioxane bilayer resist process for low-voltage electron beam lithography

Andrew Thomas Jamieson; C. Grant Willson; Yautzong Hsu; Alan D. Brodie

Namatsu, van Delft, and others have reported printing exceptionally small features using high voltage (>50kV) electron beam exposure of hydrogen silsesquioxane (HSQ). They also reported that HSQ has very high exposure dose requirements (~2000(mu) C/cm2 at 100kV). We have explored the utility of HSQ as a resist for low-voltage electron beam lithography. Because low energy electrons have a very limited penetration depth, a thin film imaging technique must be employed in conjunction with anisotropic oxygen reactive ion etching to generate the high aspect-ratio features required to provide adequate etch resistance for subsequent image transfer steps. HSQs exceptionally low oxygen plasma etch rate makes it an excellent top layer for a bilayer process of this sort. High resolution, high aspect ratio images were printed with this system using 1kV electrons with an imaging dose of less than 60 (mu) C/cm2. The resulting features have very smooth sidewalls. Monte Carlo simulations have been performed for the exposure process and compared to experimental results.


Proceedings of SPIE | 2011

Demonstration of lithography patterns using reflective e-beam direct write

Regina Freed; Jeff Sun; Alan D. Brodie; Paul Petric; Mark A. McCord; Kurt G. Ronse; L. Haspeslagh; Bart Vereecke

Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of the DPG to achieve the capability of high throughput for sparse pattern wafer levels. The lens elements on the DPG are fabricated at IMEC (Leuven, Belgium) under IMECs CMORE program. The CMOS fabricated DPG contains ~ 1,000,000 lens elements, allowing for 1,000,000 individually controllable beamlets. A single lens element consists of 5 electrodes, each of which can be set at controlled voltage levels to either absorb or reflect the electron beam. A system using a linear movable stage and the DPG integrated into the electron optics module was used to expose patterns on device representative wafers. Results of these exposure tests are discussed.


Journal of Micro-nanolithography Mems and Moems | 2013

Digital pattern generator: an electron-optical MEMS for massively parallel reflective electron beam lithography

Luca Grella; Allen Carroll; Kirk Murray; Mark A. McCord; William M. Tong; Alan D. Brodie; Thomas Gubiotti; Fuge Sun; Francoise Kidwingira; Shinichi Kojima; Paul Petric; Christopher F. Bevis; Bart Vereecke; Luc Haspeslagh; Anil U. Mane; Jeffrey W. Elam

Abstract. The digital pattern generator (DPG) is a complex electron-optical MEMS that pixelates the electron beam in the reflective electron beam lithography (REBL) e-beam column. It potentially enables massively parallel printing, which could make REBL competitive with optical lithography. The development of the REBL DPG, from the CMOS architecture, through the lenslet modeling and design, to the fabrication of the MEMS device, is described in detail. The imaging and printing results are also shown, which validate the pentode lenslet concept and the fabrication process.


Proceedings of SPIE | 2011

New advances with REBL for maskless high-throughput EBDW lithography

Paul Petric; Chris Bevis; Mark A. McCord; Allen Carroll; Alan D. Brodie; Upendra Ummethala; Luca Grella; Anthony Cheung; Regina Freed

REBL (Reflective Electron Beam Lithography) is a program for the development of a novel approach for highthroughput maskless lithography. The program at KLA-Tencor is funded under the DARPA Maskless Nanowriter Program. A DPG (digital pattern generator) chip containing over 1 million reflective pixels that can be individually turned on or off is used to project an electron beam pattern onto the wafer. The DARPA program is targeting 5 to 7 wafers per hour at the 45 nm node, and this paper will describe improvements to both increase the throughput as well as extend the system to the 2x nm node and beyond. This paper focuses on three specific areas of REBL technology. First, a new column design has been developed based on a Wien filter to separate the illumination and projection beams. The new column design is much smaller, and has better performance both in resolution and throughput than the first column which used a magnetic prism for separation. This new column design is the first step leading to a multiple column system. Second, the rotary stage latest results of a fully integrated DPG CMOS chip with lenslets will be reviewed. An array of over 1 million micro lenses which is fabricated on top of the CMOS DPG chip has been developed. The microlens array eliminates crosstalk between adjacent pixels, maximizes contrast between on and off states, and provides matching of the NA between the DPG reflector and the projection optics.

Collaboration


Dive into the Alan D. Brodie's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Anil U. Mane

Argonne National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge