Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mark A. McCord is active.

Publication


Featured researches published by Mark A. McCord.


Applied Physics Letters | 1990

Direct deposition of magnetic dots using a scanning tunneling microscope

Mark A. McCord; D. D. Awschalom

A scanning tunneling microscope has been used to directly deposit nanometer‐scale structures into the input coil of a planar dc superconducting quantum interference device microsusceptometer. Iron pentacarbonyl was used as the source gas for the deposits, yielding dots with diameters ranging from 10 to 30 nm and heights from 30 to 100 nm. Measurements on the particles at low temperatures show them to be magnetic and reveal macroscopic spin properties.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2010

Reflective electron beam lithography: A maskless ebeam direct write lithography approach using the reflective electron beam lithography concept

Paul F. Petric; Chris Bevis; Mark A. McCord; Allen M. Carroll; Alan D. Brodie; Upendra Ummethala; Luca Grella; Anthony Cheung; Regina Freed

Reflective electron beam litography (REBL) utilizes several novel technologies to generate and expose lithographic patterns at throughputs that could make ebeam maskless lithography feasible for high volume manufacturing. The REBL program was described in a previous article [P. Petric et al., J. Vac. Sci. Technol. B 27, 161 (2009)] 2 years ago. This article will review the system architecture and the progress of REBL in the past 2 years. The main technologies making REBL unique are the reflective electron optics, the rotary stage, and the dynamic pattern generator (DPG). Changes in how these concepts have been implemented in a new design will be discussed. The main disadvantage of today’s electron beam direct write is low throughput; it takes many tens of hours to expose a 300 mm wafer today using ebeam lithography. The projected system throughput performance with the integrated technology of the reflective optics, DPG, and a multiple wafer rotary stage will be shown incorporating the performance data for the new column design.Reflective electron beam litography (REBL) utilizes several novel technologies to generate and expose lithographic patterns at throughputs that could make ebeam maskless lithography feasible for high volume manufacturing. The REBL program was described in a previous article [P. Petric et al., J. Vac. Sci. Technol. B 27, 161 (2009)] 2 years ago. This article will review the system architecture and the progress of REBL in the past 2 years. The main technologies making REBL unique are the reflective electron optics, the rotary stage, and the dynamic pattern generator (DPG). Changes in how these concepts have been implemented in a new design will be discussed. The main disadvantage of today’s electron beam direct write is low throughput; it takes many tens of hours to expose a 300 mm wafer today using ebeam lithography. The projected system throughput performance with the integrated technology of the reflective optics, DPG, and a multiple wafer rotary stage will be shown incorporating the performance data for...


Applied Physics Letters | 2013

Nanoclusters of MoO3−x embedded in an Al2O3 matrix engineered for customizable mesoscale resistivity and high dielectric strength

William M. Tong; Alan D. Brodie; Anil U. Mane; Fuge Sun; Francoise Kidwingira; Mark A. McCord; Christopher F. Bevis; Jeffrey W. Elam

We have synthesized a material consisting of conducting metal oxide (MoO3−x) nanoclusters embedded in a high-dielectric-strength insulator (Al2O3) matrix. The resistivity of this material can be customized by varying the concentration of the MoO3−x nanoclusters. The Al2O3 protects the MoO3−x from stoichiometry change, thus conserving the number of carriers and maintaining a high dielectric strength. This composite material is grown by atomic layer deposition, a thin film deposition technique suitable for coating 3D structures. We applied these atomic layer deposition composite films to our 3D electron-optical micro electrical mechanical systems devices and greatly improved their performance.


Journal of Vacuum Science & Technology B | 1994

Printability of sub‐150 nm features in x‐ray lithography: Theory and experiments

Scott Daniel Hector; Vincent V. Wong; Henry I. Smith; Mark A. McCord; K. W. Rhee

Image formation in x‐ray lithography has been studied extensively. A previous theoretical study predicted that 0.1 μm features can be printed at large gaps (≳10 μm) with absorbers attenuating less than 10 dB. This study seeks to verify rigorous electromagnetic simulations of image formation by directly measuring the aerial image. Exposures of features with linewidths ranging from 0.15 to 0.075 μm were performed on the Helios synchrotron. Pedestal‐style x‐ray masks, consisting of SiNx membranes and a Au absorber, were patterned with e‐beam lithography at 100 and 50 kV. By careful dose control and inspection of the resulting features, one can directly determine the aerial image (the image at the resist surface). This is verified using a string model of the resist development. Aerial image measurements correlate reasonably well with modeling results.


Japanese Journal of Applied Physics | 1994

High-Accuracy Defect-Free X-Ray Mask Technology

Steven C. Nash; Thomas B. Faure; James P. Levin; Denise M. Puisto; Janet M. Rocque; Kurt R. Kimmel; Mark A. McCord; R. Viswanathan

There are many material and processing options for building highly accurate defect-free X-ray masks that meet the 0.25-µ m and smaller lithography groundrules. IBMs path and rationale for reducing the key mask parameters of image size, image placement and defects is covered. For image size resolution and control, high voltage e-beam lithography (greater then 50 kV) is the preferred technique for X-ray masks. For tighter image placement control, special writing schemes that reduce the e-beam lithography systematic and random placement errors must be used. Special absorber electroplating conditions and thermal controls were implemented to control process-induced distortion. For tight defect control, identifying and eliminating sources of defect is key. Clearly, for IBM, most of the defect sources were process rather than foreign material related. Our defect reduction work has resulted in the fabrication of a fully functional 64-Mb DRAM (single chip) mask.


Journal of Vacuum Science & Technology B | 1996

Extendibility of x‐ray lithography to ⩽130 nm ground rules in complex integrated circuit patterns

Scott Daniel Hector; William Chu; Matthew A. Thompson; Victor Pol; Bill Dauksher; Kevin D. Cummings; Doug J. Resnick; Sandeep Pendharkar; Juan R. Maldonado; Mark A. McCord; Azalia A. Krasnoperova; Lars W. Liebmann; Jerry Silverman; Jerry Guo; Mumit Khan; Srinivas B. Bollepalli; Luigi Capodieci; F. Cerrina

Previous experimental and theoretical evidence indicates that x‐ray lithography can be used to pattern ≤180 nm features. In order to be used in manufacturing, x‐ray lithography of complex integrated circuit patterns (i.e., dense two‐dimensional patterns) needs to be demonstrated with a practical proximity gap. However, no large body of experimental evidence exists for the extendibility of x‐ray lithography for complex patterns with ground rules of ≤130 nm at gaps of 10–20 μm. Simulations of image formation and resist dissolution are shown to have good agreement with experimental results. These simulations are then used to predict exposure latitude and gap latitude for printing one‐dimensional 75–125 nm patterns at 10–15 μm gaps. Simulations indicate that at least ±10% exposure dose latitude will exist for simple patterns at these gaps, but significant nested‐to‐isolated linewidth bias will exist. Gaps must be controlled to ±1 μm for ±10% dose latitude. More complex two‐dimensional patterns have been shown...


Electron-Beam, X-Ray, EUV, and Ion-Beam Submicrometer Lithographies for Manufacturing VI | 1996

Development of two new positive DUV photoresists for use with direct-write e-beam lithography

David P. Mancini; Douglas J. Resnick; Kevin J. Nordquist; William J. Dauksher; James W. Thackeray; Mark A. McCord

Two new positive tone, chemically amplified, DUV resists from Shipley, XP-9525 and XP- 9549Q (UV III) have been investigated for use as direct write e-beam resists. Both of these materials have shown extremely high resolution capabilities while maintaining excellent sensitivity to e-beam exposure. Sub-0.20 micrometers line and space gratings were resolved in UV III, and 0.10 micrometers gratings were resolved in XP-9525. A formal design of experiment was created and used as a framework to develop a process for UV III which would optimize several resultant responses including: exposure latitude, edge roughness, and sensitivity. This paper will discuss the process development of these resists, and detail their performance characteristics. Effects relating to post-exposure bake delay will also be considered due to the susceptibility of many chemically amplified resists to airborne contaminants. UV III exhibited much greater stability than XP-9525, and was able to maintain precise linewidth control after 4 hours of delay, making it acceptable for use in a normal process environment. In contrast, XP-9525 exhibited severe T-topping after post-exposure bake delays of only 15 minutes, a condition which can only be solved using additional processing steps and/or environmental controls.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2013

Modeling of counter streaming charged beams in MICHELLE-eBEAM

Serguei Ovtchinnikov; Simon J. Cooke; Masis Mkrtchyan; Roman Shtokhamer; Christopher Kostas; John J. Petillo; Paul F. Petric; Mark A. McCord; Alexander N. Vlasov; Baruch Levush

A new approach implemented in the MICHELLE-eBEAM code [Ovtchinnikov et al., J. Vac. Sci. Technol. B 28, C6J8 (2010)] is designed to take advantage of the graphics processing unit hardware acceleration using novel algorithms to capture inter-particle interactions accurately and efficiently. This approach is used in the simulation of counter streaming charged particle beams, where two beams are colocated in space while propagating in opposite directions, and has applications in lithographic devices such as in Reflective Electron Beam Lithography (REBL) [Petric et al., J. Vac. Sci. Technol. B 27, 161 (2009)]. Modeling such counter streaming beams presents different computational challenges depending on the specific device being modeled. These applications often require the modeling of both global and stochastic space charge, where the latter calls for accurate evaluation of Coulomb interactions. In this paper, the authors report on our progress and demonstrate, for a high current REBL application, the achiev...


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2010

High-current electron optical design for reflective electron beam lithography direct write lithography

Mark A. McCord; Shinichi Kojima; Paul F. Petric; Alan D. Brodie; Jeff Sun

The authors present the latest design and results for the second generation column used in the reflective electron beam lithography program. The previous magnetic prism based concept to separate the illumination and projection beams has been replaced with a Wien filter that allows the column to be shrunk in size by a factor of 3, resulting in reduced Coulomb blur and energy spread. Experimental data from the column are presented and compared to simulation. The authors also discuss design considerations for this and future columns, including beam voltage, numerical aperture selection, and cathode optimization.


Electron-Beam, X-Ray, EUV, and Ion-Beam Submicrometer Lithographies for Manufacturing V | 1995

Electrical linewidth measurements and simulations studying the effects of dose and gap on exposure latitude in x-ray lithography

Christine M. Nelson; Scott Daniel Hector; William Chu; Philip A. Seese; Matthew A. Thompson; Victor Pol; Mark A. McCord; James M. Oberschmidt; James W. Taylor

Electrical linewidth measurements of etched, N+-doped polysilicon submicron lines were carried out to study the effects of dose and gap on exposure latitude in proximity X-ray lithography. Isolated lines and equal line/space pairs having linewidths from 0.15 micrometers to 0.35 micrometers on the X-ray mask were printed in APEX-M resist at gaps ranging from 26 micrometers to 34 micrometers using a Karl Suss stepper. Lithography was carried out at the IBM Advanced Lithography Facility using the Helios 1 synchrotron. Low voltage scanning electron microscopy (SEM) measurements in top-down mode using the linear regression algorithm are compared to electrical linewidth measurements. Reactive-Ion Etch bias is determined by comparing top-down SEM of resist after exposure, on both 50 and 330-nm-thick polysilicon, to top-down SEM after etching. Both resist and etched line profiles are examined in cross section using SEM. The etch bias and the change in line profiles were found to account for most of the offset between the SEM and the electrical linewidth measurements. The results of SEM-measured averaged across the field, were also compared to two-dimensional aerial images (determined using average SEM-measured mask linewidths) and resist dissolution simulations to examine simulation accuracy.

Collaboration


Dive into the Mark A. McCord's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Anil U. Mane

Argonne National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

F. Cerrina

University of Wisconsin-Madison

View shared research outputs
Researchain Logo
Decentralizing Knowledge