Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Amy E. Zweber is active.

Publication


Featured researches published by Amy E. Zweber.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Characterization of binary and attenuated phase shift mask blanks for 32nm mask fabrication

Thomas B. Faure; Emily Gallagher; Michael S. Hibbs; Louis Kindt; Ken Racette; Richard Wistrom; Amy E. Zweber; Alfred Wagner; Yasutaka Kikuchi; Toru Komizo; Satoru Nemoto

During the development of optical lithography extensions for 32nm, both binary and attenuated phase shift Reticle Enhancement Technologies (RETs) were evaluated. The mask blank has a very strong influence on the minimum feature size and critical dimension (CD) performance that can be achieved on the finished reticle and can have a significant impact on the ultimate wafer lithographic performance. Development of a suitable high resolution binary mask making process was particularly challenging. Standard chrome on glass (COG) binary blanks with 70 nm thick chrome films were unable to support the required minimum feature size, linearity, and through pitch requirements. Two alternative mask blank configurations were evaluated for use in building high resolution binary masks: a binary (BIN) mask blank based on the standard attenuated PSM blank and an Opaque MoSi on Glass (OMOG) mask blank consisting of a newly- developed opaque MoSi [1]. Data comparing the total process bias, minimum feature size, CD uniformity, linearity, through pitch, etch loading effects, flatness, film stress, cleaning durability and radiation durability performance of the different binary and attenuated PSM mask blanks are reported. The results show that the new OMOG binary blank offers significant mask performance benefits relative to the other binary and attenuated PSM mask blanks. The new OMOG blank was the opaque mask blank candidate most capable of meeting 32nm binary mask fabrication requirements..


Photomask Technology 2012 | 2012

Impact of EUV photomask line edge roughness on wafer prints

Zhengqing John Qi; Emily Gallagher; Yoshiyuki Negishi; Gregory McIntyre; Amy E. Zweber; Tasuku Senna; Satoshi Akutagawa; Toshio Konishi

The line-edge roughness (LER) of a photomask image has a measurable impact on the corresponding printed wafer LER. This impact increases as wafer exposures move from 193nm DUV to 13.5nm EUV wavelengths since the imaging tool is a low-pass filter with EUV passing more spatial frequencies. Even the high frequency mask LER may impact the wafer image by lowering its image log-slope (ILS). Studying the magnitude and frequency content of mask LER is a first step to reducing the wafer LER. The next step is to determine which components of mask line roughness actually contribute to the wafer line roughness. Order is imposed on this study by fabricating programmed LER patterns on an EUV mask to introduce controlled variations in LER spatial frequency and magnitude. More specifically, line-width roughness (LWR), LER and power spectral density (PSD) are extracted from 64nm and 90nm (1X) pitch lines on a programmed LER EUV photomask. The same mask is then exposed on the ASML EUV Alpha Demo Tool (ADT) at best focus and dose. Three chemically amplified EUV photoresists are evaluated using the programmed LER photomask through PSD and LWR comparisons and the highest performance resist is used for a comprehensive LER transfer analysis. Wafer LWR is extracted from 64nm and 90nm pitch lines and correlated back to the base mask patterns revealing an empirical LWR transfer function (LTF). Finally, the study is extended to 45nm (1X) pitch lines by deploying a pupil filter on the ADT to explore the effect on LWR as the feature sizes shrink.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Investigation of the development process for high-precision patterning

Junichi Watanabe; Tsukasa Yamazaki; Masahito Tanabe; Toru Komizo; Amy E. Zweber; Adam C. Smith

Photomask feature size has decreased in accordance with constant downscaling of semiconductor device size with generation changes in every 2-3 years, as in the ITRS Roadmap. However, since exposure wavelength has been unable to keep its pace with decreasing feature size, resolution enhancement techniques have been used to bring the generation changes in photomask technologies. A typical resolution enhancement technique of using sub-resolution assist features (SRAF) requires patterning of small features and that increases difficulties in mask manufacturing. Under such circumstances, we are presenting a study focusing on EB-resist development in the manufacturing process. In this paper, we study and report development methods aiming to improve develop loading effect and resolution limit.


Proceedings of SPIE | 2010

A method to characterize pattern density effects: chemical flare and develop loading

Linda K. Sundberg; Gregory M. Wallraff; Alexander Friz; Amy E. Zweber; Zdenek Benes; Robert D. Lovchik; Emmanuel Delamarche; William D. Hinsberg

Many recent publications have highlighted pattern density effects as a problem in both electron-beam and optical lithography. These effects are manifested as a systematic variation in critical dimension as a function of position on the wafer. It is becoming an increasing problem as the pattern density and diminishing critical dimensions are needed for production nodes 32nm and beyond. One potential source of pattern density effects is acid volatility, where acid is presumed to redeposit during exposure or bake; here we refer to this effect as chemical flare. Another source of density effects is develop loading which refers to the impact of local depletion of developer in highly exposed regions. Both develop loading and chemical flare can cause deviations in feature size that may be difficult to correct for by adjustment of the exposure process. Here we describe a method that allows the detrimental effects of chemical flare and develop loading to be separately characterized. The method makes use of arrays of 248 nm exposure sites and a controlled develop process within a custom liquid flowcell; this combination enables a systematic study of these effects.


Proceedings of SPIE | 2012

EUV mask line edge roughness

Amy E. Zweber; Emily Gallagher; Martha I. Sanchez; Tasuku Senna; Yoshiyuki Negishi; Toshio Konishi; Anne McGuire; Luisa D. Bozano; Phil Brock; Hoa Truong

Extreme ultraviolet (EUV) mask fabrication faces many unique challenges, including more stringent line edge roughness (LER) requirements. EUV mask absorber LER will need to be reduced to reliably meet the 2013 International Roadmap for Semiconductors line width roughness target of 3.3 nm. This paper will focus on evaluating resists modified and deployed specifically to reduce LER on EUV masks. Masks will be built, and the final mask absorber LER reported considering multiple imaging and analysis techniques. An assessment of best methods for mask LER analysis will be provided and used to judge resist performance.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Two complementary methods to characterize long range proximity effects due to develop loading

Linda K. Sundberg; Greg Wallraff; Alexander Friz; Blake Davis; Amy E. Zweber; Robert D. Lovchik; Emmanuel Delamarche; Tasuku Senna; Toru Komizo; William D. Hinsberg

Variations in critical dimension (CD) as a function of the proximity of an individual feature to other exposed areas are a continuing problem both in mask fabrication and in optical lithography. For example, the CD uniformity (CDU) may degrade significantly depending on the proximity to densely or sparsely exposed areas. These pattern density effects will continue to worsen as feature sizes decrease to 22 nm and below. Pattern density effects in electron beam lithography using chemically amplified resists are believed to arise from several sources. One such source, fogging, refers to the backscattering of secondary electrons onto the resist to cause deviations from the nominal pattern size. A second contributor is acid volatility, where photogenerated acid is presumed to redeposit on the wafer or mask during exposure or bake; here we refer to this effect as chemical flare. A third source of pattern density effects is develop loading, which results in local depletion of developer in highly exposed regions. All three of these may simultaneously contribute to a net observed CD variation. In this report we describe the application of two different techniques for evaluating these proximity effects. The first is based on electron-beam lithography patterning, and compares CD values of test patterns which are exposed under brightfield and dark-field conditions. The second uses a series of different test patterns formed by DUV (248nm) exposure and a custom liquid flow cell to separately characterize resist related density effects.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Development and Characterization of a Thinner Binary Mask Absorber for 22 nm node and Beyond

Tom Faure; Karen D. Badger; Louis Kindt; Yutaka Kodera; Toru Komizo; Shinpei Kondo; Takashi Mizoguchi; Satoru Nemoto; Kazunori Seki; Tasuku Senna; Richard Wistrom; Amy E. Zweber; Kazuhiro Nishikawa; Yukio Inazuki; Hiroki Yoshikawa

The lithography challenges posed by the 22 nm node continue to place stringent requirements on photomasks. The dimensions of the mask features continue to shrink more deeply into the sub-wavelength scale. In this regime residual mask electromagnetic field (EMF) effects due to mask topography can degrade the imaging performance of critical mask patterns by degrading the common lithography process window and by magnifying the impact of mask errors or MEEF. Based on this, an effort to reduce the mask topography effect by decreasing the thickness of the mask absorber was conducted. In this paper, we will describe the results of our effort to develop and characterize a binary mask substrate with an absorber that is approximately 20-25% thinner than the absorber on the current Opaque MoSi on Glass (OMOG) binary mask substrate. For expediency, the thin absorber development effort focused on using existing absorber materials and deposition methods. It was found that significant changes in film composition and structure were needed to obtain a substantially thinner blank while maintaining an optical density of 3.0 at 193 nm. Consequently, numerous studies to assess the mask making performance of the thinner absorber material were required and will be described. During these studies several significant mask making advantages of the thin absorber were discovered. The lower film stress and thickness of the new absorber resulted in improved mask flatness and up to a 60% reduction in process-induced mask pattern placement change. Improved cleaning durability was another benefit. Furthermore, the improved EMF performance of the thinner absorber [1] was found to have the potential to relieve mask manufacturing constraints on minimum opaque assist feature size and opaque corner to corner gap. Based on the results of evaluations performed to date, the thinner absorber has been found to be suitable for use for fabricating masks for the 22 nm node and beyond.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Etch characterization of binary mask dependence on mask material and resist thickness for 22nm mask fabrication

Satoru Nemoto; Thomas B. Faure; Richard Wistrom; Shaun Crawford; Gary Reid; Peter H. Bartlau; Toru Komizo; Amy E. Zweber

Use of optical photomasks will extend to the 22-nm node and beyond. Mask minimum resolution and critical dimension (CD) requirements for this node are very challenging to the mask industry. Optimization of resist materials and resist thickness are key factors for improving CD performance. In general, thinner resists result in better minimum resolution performance. The minimum useable resist thickness is often linked to the chrome hard mask dry etching performance. More specifically, improvement of chrome etch rate selectivity to resist while simultaneously maintaining good CD performance is difficult. In order to use a very thin e-beam resist, the underlying chrome hard mask material thickness needs to be thin or it needs to be comprised of a material that has a fast etch rate and good dry etch selectivity to resist. Use of thin and/or fast etch rate hard mask materials that are capable of reducing dry etch induced CD error such as etch bias, etch bias uniformity, etch bias linearity, and etch global loading effect is required for meeting 22-nm mask requirements. In this paper, the dry etching effect dependence on hard mask thickness, hard mask material composition and resist thickness for building advanced binary masks for 22-nm node is studied. The results from this work will show that dry etch induced CD error such as etch bias, etch bias uniformity, etch bias linearity, and etch global loading effect are significantly improved by use of an ultra thin or high etch rate hard mask material.


Photomask Technology 2014 | 2014

Characterization of a new polarity switching negative tone e-beam resist for 14nm and 10nm logic node mask fabrication and beyond

Tom Faure; Amy E. Zweber; Luisa D. Bozano; Martha I. Sanchez; Ratnam Sooriyakumaran; Linda K. Sundberg; Y. Sakamoto; S. Nash; M. Kagawa; T. Isogawa; T. Senna; M. Tanabe; T. Komizo; I. Yoshida; K. Masunaga; Satoshi Watanabe; Yoshio Kawai; J. Malenfant; R. Bowley

The critical layer masks for 14 nm and 10 nm logic nodes are typically bright field, and the key features are opaque structures on the mask. In order to meet the tight critical dimension (CD) requirements on these opaque features the use of a high quality negative tone chemically amplified e-beam resist (NCAR) is required. Until very recently the only negative tone e-beam resists available for use by the mask industry were the traditional cross linking type in which ebeam exposure cross links the material and makes it insoluble in developer. In this paper we will describe the performance of a new polarity switching type of NCAR resist that works by changing the solubility of the exposed resist without cross linking. This has the advantage of significantly reduced swelling and scumming and resulted in major improvements in the resolution of heavily nested features and small clear features on the mask. Additional detailed characterization results will be described.


SPIE Photomask Technology | 2013

Defects on high-resolution negative-tone resist: "The revenge of the blobs"

Martha I. Sanchez; Linda K. Sundberg; Luisa D. Bozano; Ratnam Sooriyakumaran; D. P. Sanders; T. Senna; M. Tanabe; T. Komizo; I. Yoshida; Amy E. Zweber

Resist materials rely on solubility differences between the exposed and unexposed areas to create the desired image. Most negative-tone resists achieve the solubility difference by crosslinking the exposed area causing it to be insoluble in developer. The negative tone resist studied here is a high sensitivity negativetone resist that relies on polarity switching, similar to a positive-tone mechanism, but where the exposed area is insoluble in aqueous developer resulting in a negative-tone image. During mask evaluation for 14nm optical technology applications of the studied non-cross linking (polarity switching) resist, 1 - 5 μm size blob-like defects were found in large numbers under certain exposure conditions. This paper will describe the process and methodologies used to investigate these blob defects.

Researchain Logo
Decentralizing Knowledge