Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Anand S. Murthy is active.

Publication


Featured researches published by Anand S. Murthy.


international electron devices meeting | 2003

A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors

Tahir Ghani; Mark Armstrong; C. Auth; M. Bost; P. Charvat; Glenn A. Glass; T. Hoffmann; K. Johnson; C. Kenyon; Jason Klaus; B. McIntyre; K. Mistry; Anand S. Murthy; J. Sandford; M. Silberstein; Sam Sivakumar; P. Smith; K. Zawadzki; S. Thompson; Mark Bohr

This paper describes the details of a novel strained transistor architecture which is incorporated into a 90nm logic technology on 300mm wafers. The unique strained PMOS transistor structure features an epitaxially grown strained SiGe film embedded in the source drain regions. Dramatic performance enhancement relative to unstrained devices are reported. These transistors have gate length of 45nm and 50nm for NMOS and PMOS respectively, 1.2nm physical gate oxide and Ni salicide. World record PMOS drive currents of 700/spl mu/A//spl mu/m (high V/sub T/) and 800/spl mu/A//spl mu/m (low V/sub T/) at 1.2V are demonstrated. NMOS devices exercise a highly tensile silicon nitride capping layer to induce tensile strain in the NMOS channel region. High NMOS drive currents of 1.26mA//spl mu/m (high VT) and 1.45mA//spl mu/m (low VT) at 1.2V are reported. The technology is mature and is being ramped into high volume manufacturing to fabricate next generation Pentium/spl reg/ and Intel/spl reg/ Centrino/spl trade/ processor families.


IEEE Transactions on Electron Devices | 2004

A 90-nm logic technology featuring strained-silicon

Scott E. Thompson; Mark Armstrong; C. Auth; Mohsen Alavi; Mark Buehler; Robert S. Chau; S. Cea; Tahir Ghani; Glenn A. Glass; Thomas Hoffman; Chia-Hong Jan; Chis Kenyon; Jason Klaus; Kelly Kuhn; Zhiyong Ma; Brian McIntyre; K. Mistry; Anand S. Murthy; Borna Obradovic; Ramune Nagisetty; Phi L. Nguyen; Sam Sivakumar; R. Shaheed; Lucian Shifren; Bruce Tufts; Sunit Tyagi; Mark Bohr; Youssef A. El-Mansy

A leading-edge 90-nm technology with 1.2-nm physical gate oxide, 45-nm gate length, strained silicon, NiSi, seven layers of Cu interconnects, and low-/spl kappa/ CDO for high-performance dense logic is presented. Strained silicon is used to increase saturated n-type and p-type metal-oxide-semiconductor field-effect transistors (MOSFETs) drive currents by 10% and 25%, respectively. Using selective epitaxial Si/sub 1-x/Ge/sub x/ in the source and drain regions, longitudinal uniaxial compressive stress is introduced into the p-type MOSEFT to increase hole mobility by >50%. A tensile silicon nitride-capping layer is used to introduce tensile strain into the n-type MOSFET and enhance electron mobility by 20%. Unlike all past strained-Si work, the hole mobility enhancement in this paper is present at large vertical electric fields in nanoscale transistors making this strain technique useful for advanced logic technologies. Furthermore, using piezoresistance coefficients it is shown that significantly less strain (/spl sim/5 /spl times/) is needed for a given PMOS mobility enhancement when applied via longitudinal uniaxial compression versus in-plane biaxial tension using the conventional Si/sub 1-x/Ge/sub x/ substrate approach.


IEEE Electron Device Letters | 2004

A logic nanotechnology featuring strained-silicon

Scott E. Thompson; Mark Armstrong; C. Auth; S. Cea; Robert S. Chau; Glenn A. Glass; Thomas Hoffman; Jason Klaus; Zhiyong Ma; Brian McIntyre; Anand S. Murthy; Borna Obradovic; Lucian Shifren; Sam Sivakumar; Sunit Tyagi; Tahir Ghani; K. Mistry; Mark Bohr; Youssef A. El-Mansy

Strained-silicon (Si) is incorporated into a leading edge 90-nm logic technology . Strained-Si increases saturated n-type and p-type metal-oxide-semiconductor field-effect transistors (MOSFETs) drive currents by 10 and 25%, respectively. The process flow consists of selective epitaxial Si/sub 1-x/Ge/sub x/ in the source/drain regions to create longitudinal uniaxial compressive strain in the p-type MOSFET. A tensile Si nitride-capping layer is used to introduce tensile uniaxial strain into the n-type MOSFET and enhance electron mobility. Unlike past strained-Si work: 1) the amount of strain for the n-type and p-type MOSFET can be controlled independently on the same wafer and 2) the hole mobility enhancement in this letter is present at large vertical electric fields, thus, making this flow useful for nanoscale transistors in advanced logic technologies.


IEEE Electron Device Letters | 2003

High performance fully-depleted tri-gate CMOS transistors

Brian S. Doyle; Suman Datta; Mark Beaverton Doczy; Scott Hareland; Ben Jin; J. Kavalieros; T. Linton; Anand S. Murthy; Rafael Rios; Robert S. Chau

Fully-depleted (FD) tri-gate CMOS transistors with 60 nm physical gate lengths on SOI substrates have been fabricated. These devices consist of a top and two side gates on an insulating layer. The transistors show near-ideal subthreshold gradient and excellent DIBL behavior, and have drive current characteristics greater than any non-planar devices reported so far, for correctly-targeted threshold voltages. The tri-gate devices also demonstrate full depletion at silicon body dimensions approximately 1.5 - 2 times greater than either single gate SOI or non-planar double-gate SOI for similar gate lengths, indicating that these devices are easier to fabricate using the conventional fabrication tools. Comparing tri-gate transistors to conventional bulk CMOS device at the same technology node, these non-planar devices are found to be competitive with similarly-sized bulk CMOS transistors. Furthermore, three-dimensional (3-D) simulations of tri-gate transistors with transistor gate lengths down to 30 nm show that the 30 nm tri-gate device remains fully depleted, with near-ideal subthreshold swing and excellent short channel characteristics, suggesting that the tri-gate transistor could pose a viable alternative to bulk transistors in the near future.


international electron devices meeting | 2002

A 90 nm logic technology featuring 50 nm strained silicon channel transistors, 7 layers of Cu interconnects, low k ILD, and 1 /spl mu/m/sup 2/ SRAM cell

S. Thompson; N. Anand; Mark Armstrong; C. Auth; B. Arcot; Mohsen Alavi; P. Bai; J. Bielefeld; R. Bigwood; J. Brandenburg; M. Buehler; Stephen M. Cea; V. Chikarmane; C.-H. Choi; R. Frankovic; Tahir Ghani; G. Glass; W. Han; T. Hoffmann; M. Hussein; P. Jacob; A. Jain; Chia-Hong Jan; S. Joshi; C. Kenyon; Jason Klaus; S. Klopcic; J. Luce; Z. Ma; B. McIntyre

A leading edge 90 nm technology with 1.2 nm physical gate oxide, 50 nm gate length, strained silicon, NiSi, 7 layers of Cu interconnects, and low k carbon-doped oxide (CDO) for high performance dense logic is presented. Strained silicon is used to increase saturated NMOS and PMOS drive currents by 10-20% and mobility by >50%. Aggressive design rules and unlanded contacts offer a 1.0 /spl mu/m/sup 2/ 6-T SRAM cell using 193 nm lithography.


international electron devices meeting | 2004

A 65nm logic technology featuring 35nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57 /spl mu/m/sup 2/ SRAM cell

P. Bai; C. Auth; S. Balakrishnan; M. Bost; Ruth A. Brain; V. Chikarmane; R. Heussner; M. Hussein; Jack Hwang; D. Ingerly; R. James; J. Jeong; C. Kenyon; E. Lee; S.-H. Lee; Nick Lindert; Mark Y. Liu; Z. Ma; T. Marieb; Anand S. Murthy; R. Nagisetty; Sanjay S. Natarajan; J. Neirynck; A. Ott; C. Parker; J. Sebastian; R. Shaheed; Sam Sivakumar; Joseph M. Steigerwald; Sunit Tyagi

A 65nm generation logic technology with 1.2nm physical gate oxide, 35nm gate length, enhanced channel strain, NiSi, 8 layers of Cu interconnect, and low-k ILD for dense high performance logic is presented. Transistor gate length is scaled down to 35nm while not scaling the gate oxide as a means to improve performance and reduce power. Increased NMOS and PMOS drive currents are achieved by enhanced channel strain and junction engineering. 193nm lithography along with APSM mask technology is used on critical layers to provide aggressive design rules and a 6-T SRAM cell size of 0.57/spl mu/m/sup 2/. Process yield, performance and reliability are demonstrated on a 70 Mbit SRAM test vehicle with >0.5 billion transistors.


international electron devices meeting | 2009

High performance 32nm logic technology featuring 2 nd generation high-k + metal gate transistors

P. Packan; S. Akbar; Mark Armstrong; D. Bergstrom; M. Brazier; H. Deshpande; K. Dev; G. Ding; Tahir Ghani; Oleg Golonzka; W. Han; Jun He; R. Heussner; R. James; J. Jopling; C. Kenyon; S-H. Lee; Mark Y. Liu; S. Lodha; B. Mattis; Anand S. Murthy; L. Neiberg; J. Neirynck; Sangwoo Pae; C. Parker; L. Pipes; J. Sebastian; J. Seiple; B. Sell; Ajay K. Sharma

A 32nm logic technology for high performance microprocessors is described. 2nd generation high-k + metal gate transistors provide record drive currents at the tightest gate pitch reported for any 32nm or 28nm logic technology. NMOS drive currents are 1.62mA/um Idsat and 0.231mA/um Idlin at 1.0V and 100nA/um Ioff. PMOS drive currents are 1.37mA/um Idsat and 0.240mA/um Idlin at 1.0V and 100nA/um Ioff. The impact of SRAM cell and array size on Vccmin is reported.


international electron devices meeting | 2008

A 32nm logic technology featuring 2 nd -generation high-k + metal-gate transistors, enhanced channel strain and 0.171μm 2 SRAM cell size in a 291Mb array

Sanjay S. Natarajan; Mark Armstrong; M. Bost; Ruth A. Brain; M. Brazier; C.-H. Chang; V. Chikarmane; M. Childs; H. Deshpande; K. Dev; G. Ding; Tahir Ghani; Oleg Golonzka; W. Han; J. He; R. Heussner; R. James; I. Jin; C. Kenyon; S. Klopcic; S.-H. Lee; Mark Y. Liu; S. Lodha; B. McFadden; Anand S. Murthy; L. Neiberg; J. Neirynck; P. Packan; S. Pae; C. Parker

A 32 nm generation logic technology is described incorporating 2nd-generation high-k + metal-gate technology, 193 nm immersion lithography for critical patterning layers, and enhanced channel strain techniques. The transistors feature 9 Aring EOT high-k gate dielectric, dual band-edge workfunction metal gates, and 4th-generation strained silicon, resulting in the highest drive currents yet reported for NMOS and PMOS. Process yield, performance and reliability are demonstrated on a 291 Mbit SRAM test vehicle, with 0.171 mum2 cell size, containing >1.9 billion transistors.


international electron devices meeting | 2001

A 50 nm depleted-substrate CMOS transistor (DST)

Robert S. Chau; Jack T. Kavalieros; Brian S. Doyle; Anand S. Murthy; N. Paulsen; D. Lionberger; D. Barlage; Reza Arghavani; Brian Roberds; M. Doczy

In this paper we show a Depleted-Substrate Transistor (DST) technology which demonstrates significant performance gain over bulk Si transistors without the floating body effect (FBE). We have fabricated depleted-substrate CMOS transistors on thin silicon body (/spl les/30 nm) with physical gate lengths down to 50 nm which show much steeper subthreshold slopes (/spl les/75 mV/decade) and improved DIBL (/spl les/50 mV/V) over both partially-depleted (P-D) SOI and bulk Si, for both PMOS and NMOS transistors. The salicide formation and high parasitic resistance problems associated with the use of thin Si body can be overcome by using raised source/drain. Depleted-substrate PMOS transistors with 50 nm physical gate length and raised source/drain were fabricated and achieved I/sub on/=0.65 mA/um and I/sub off/=9 nA/um at V/sub cc/=1.3 V. This PMOS drive current is the highest ever reported, and is about 30% higher than any previously published PMOS I/sub on/ value for both PD-SOI and bulk Si at a given I/sub off/. The use of raised source/drain improved the I/sub on/ of the depleted-substrate NMOS transistors by /spl sim/20%. Depleted-substrate NMOS transistors with 65 nm physical gate length and raised source/drain achieved DIBL=45 mV/V, subthreshold slope=75 mV/decade, I/sub on/=1.18 mA/um and I/sub off/ =60 nA/um at V/sub cc/=1.3 V, as well as significant improvement in Id-Vd characteristics due to a 60% reduction in DIBL and >25% improvement in subthreshold slope over the bulk Si.


international electron devices meeting | 2000

30 nm physical gate length CMOS transistors with 1.0 ps n-MOS and 1.7 ps p-MOS gate delays

Robert S. Chau; Jack T. Kavalieros; Brian Roberds; R. Schenker; D. Lionberger; D. Barlage; Brian S. Doyle; Reza Arghavani; Anand S. Murthy; Gilbert Dewey

Planar CMOS transistors have been fabricated to evaluate the 70 nm technology node using conventional transistor design methodologies. Conventional CMOS transistors with 30 nm physical gate length were fabricated using aggressively scaled junctions, polysilicon gate electrode, gate oxide and Ni silicide. These devices have inversion Cox exceeding 1.9 /spl mu/F/cm2, n-MOS gate delay (CV/I) of 0.94 ps and p-MOS gate delay of 1.7 ps at V/sub cc/=0.85 V. These are the smallest CV/I values ever reported for Si CMOS devices. The transistors also show good short channel control and subthreshold swings. The n-MOS and p-MOS have drive currents equal to 514 /spl mu/A//spl mu/m and 285 /spl mu/A//spl mu/m respectively with I/sub off/ at or below 100 nA//spl mu/m at Vcc=0.85 V. The saturation gm is equal to 1200 mS/mm for n-MOS and 640 mS/mm for p-MOS. These are among the highest gm values ever reported. The junction edge leakage is reasonably low with less than 1 nA//spl mu/m at 1.0 V and 100 C for both n-MOS and p-MOS. These encouraging results suggest that the 70 nm technology node is achievable using conventional planar transistor design and process flow.

Researchain Logo
Decentralizing Knowledge