Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Anna Maria Minvielle is active.

Publication


Featured researches published by Anna Maria Minvielle.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Effect of top coat and resist thickness on line-edge roughness

Lovejeet Singh; Itty Matthew; Adam R. Pawloski; Anna Maria Minvielle

193nm immersion lithography might have to incorporate a top layer coat to prevent leaching and contamination. Additionally, immersion and future lithography will require lowering the photoresist thickness. It has been reported in literature that the diffusion coefficient of small acid molecules reduces as the resist thickness is reduced below 200 nm. The goal of this paper is to understand how, the use of a top coat, changing resist thickness and changing the substrate affect line edge roughness (LER). The study is conducted using dry 193 nm lithography. It was found that the use of a top coat helps to improve LER for 193 nm dry resist process. Improvement in LER with the use of top coat can be explained by a change in intrinsic bias of the resist. LER was also studied as a function of resist thickness, by changing resist thickness from 790 Å to 2200 Å. It was found that LER is a strong function of resist thickness. At thickness less than about 1300 Å, LER increases, with a more pronounced effect as resist thickness is decreased further. LER was also studied as a function of substrate. Two substrates, organic bottom anti-reflection coating (BARC) and an inorganic silicon oxynitride film (SiON), were used in the present study. For ultra-thin resist films, less than 1300 Å thick, it was found that the SiON substrate produced greater LER compared with the organic BARC substrate. The data compiled provides a fundamental understanding of LER behavior and will eventually help in better control of LER for future generation devices.


23rd Annual International Symposium on Microlithography | 1998

CD control comparison of step-and-repeat versus step-and-scan DUV lithography for sub-0.25-μm gate printing

Kurt G. Ronse; Mireille Maenhoudt; Thomas Marschner; Luc Van den Hove; Bob Streefkerk; Jo Finders; Jan B.P. van Schoot; Paul Frank Luehrmann; Anna Maria Minvielle

In this paper, the intra-field critical dimension (CD) control of a KrF step&scan and step&repeat system are investigated and compared. The scanners are expected to replace the conventional steppers in the manufacturing of integrated circuit generation of 0.18 micrometer and beyond, because of the larger field size and the intrinsic improvement in intra- field CD and overlay control using comparable lens design, complexity and cost. The work has been focused on sub-0.25 micrometer critical dimensions. A reticle design for both top- down CD measurements and electrical linewidth probing has allowed massive data collection and investigation of the impact of the metrology technique in CD control studies. From this study, it can be concluded that the stepper and scanner exhibit similar CD control at best focus, but the scanner improves the CD control of the stepper if the considered focus range increases. The CD control is governed by the reticle CD non-uniformity. Focus budget calculations indicate that reticle CD ranges of 40 nm (4x) are needed to bring the CD control of 0.2 micrometer grouped lines within acceptable ranges for realistic gate levels. For isolated lines, dedicated deep-UV resists and resolution enhancement techniques will be needed on top of this to obtain similar CD control.


SPIE's 1994 Symposium on Microlithography | 1994

Minimization of total overlay errors when matching nonconcentric exposure fields

Moshe E. Preil; Terry Manchester; Anna Maria Minvielle; Robert J. Chung

As stepper field sizes increase, integrated circuit manufacturers are faced with a new series of challenges in matching exposure tools. Existing fabrication lines with smaller field steppers must determine how to match new, large field tools to their existing steppers. For optimum efficiency, one would prefer to expose a larger number of die per field on the larger field lenses. From a manufacturing standpoint, it is also necessary to expose some layers of these devices on the smaller field tools, which are limited to a smaller number of die per field. This requires matching non-concentric fields, placing two or more exposure fields of the smaller lenses within a single exposure field of the larger lenses. This paper discusses the potential overlay problems associated with non-concentric matching, and presents our methodology for determining the overlay capability and optimum matching strategy for such a scenario. We present data and simulations showing how overlay is affected by different configurations of these non-concentric fields, and solutions to minimize total overlay errors.


Integrated Circuit Metrology, Inspection, and Process Control V | 1991

Phase-shift mask technology: requirements for e-beam mask lithography

Steven K. Dunbrack; Andrew Muray; Charles A. Sauer; Richard L. Lozes; John L. Nistler; William H. Arnold; David F. Kyser; Anna Maria Minvielle; Moshe E. Preil; Bhanwar Singh; Michael K. Templeton

Phase-shifted patterns (alternating, 90-degree, and chromeless) have been incorporated into a reticle layout, fabricated with a MEBESR III system, and evaluated experimentally at 365 nm using steppers with numerical aperture (NA) ranging from 0.4 to 0.48 and partial coherence ranging from 0.38 to 0.62. Test circuit layouts simulate actual circuit designs with critical dimensions ranging from 0.2 micrometers to 1.2 micrometers . These results, combined with experimental measurement of layer to layer registration and aerial image simulations, provide a first-order assessment of e-beam lithography requirements to support phase-shift mask technology.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

CD uniformity consideration for DUV step and scan tools

Rolf Seltmann; Anna Maria Minvielle; Chris A. Spence; Sven Muehle; Luigi Capodieci; Khanh B. Nguyen

In this paper, we evaluate the CD-uniformity performance of an advanced DUV step and scan exposure tool. Using high quality reticles CD-uniformity at semi-dense lines is shown to be less than 10nm at best focus and less than 20nm at 0.6 micrometers focus range. Isolated lines show 10nm CD-uniformity at best focus and 20nm at 0.4 micrometers focus range. Removing reticle contribution leads to a decreases of intra-field CD- uniformity up to 6nm. At 0.18 micrometers lines, 0.45 micrometers pitch we found that the Mask Error Factor (MEF) is around 1. Going to smaller feature sizes and/or defocus MEF increases rapidly. We show that proper focus control is crucial for isolated line intra-field CD-control. Horizontal and vertical lines behave very similarly at semi-dense pitch. The isolated horizontal lines show a considerably higher DOF and tighter intra-field CD-control than isolated vertical lines. We are able to show both reticle and scanner contributions are not a limiting factor for fulfilling the requirements for CD-control of state of the art microprocessors. In case of 0.15micrometers linewidth and/or smaller pitches mask eros become more critical due to a considerable increase of the MEF.


Proceedings of SPIE, the International Society for Optical Engineering | 1996

SEM characterization of etch and develop contributions to poly-CD error

Crid Yu; Anna Maria Minvielle; Costas J. Spanos

CD variability is usually managed using an error budget, which apportions the total allowable error into individual process components. Automated SEM metrology can provide high quantities of samples at intermediate points in a process sequence and can be used to estimate error budget items. However, evidence suggests that SEM CD measurements can be tainted by sequencing artifacts such as trend and autocorrelation. A methodology is developed to characterize the CD variability introduced by automated SEM measurements and remove them through statistical filtering. This technique is applied to estimate variability after resist development.


Microlithography '90, 4-9 Mar, San Jose | 1990

0.5-μm photolithography using high-numerical-aperture I-line wafer steppers

William H. Arnold; Anna Maria Minvielle; Khoi A. Phan; Bhanwar Singh; Michael K. Templeton

Results are presented from a new high numerical aperture (NA 0. 48) iline 5X reduction lens which resolves 0. 5 micron lines and spaces over greater than 1 micron depth of focus in several commercially available i-line resists. The performance of this lens is contrasted with that of a NA 0. 40 i-line lens. The NA 0. 40 lens has better depth of focus for 0. 7 microns lines and spaces (L/S) and larger while the NA 0. 48 lens has better depth of focus for L/S smaller than 0. 7 microns down to a resolution cutoff near 0. 35 micron L/S. Other characteristics of the lens such as its relative insensitivity to absorption heating effects and its behavior as a function of the overpressure of He gas within the lens are explored. Simulation work suggests that a NA of between 0. 5 and 0. 55 is optimum for printing 0. 5 micron L/S. Further it suggests that there may be sufficient depth of focus at 0. 4 micron L/S to make i-line a competitor to DUV lithography for the 64 Mbit DRAM generation. 1.


Integrated Circuit Metrology, Inspection, & Process Control | 1987

Automated Product Test Wafer Procedure

Andrew Brown; Anna Maria Minvielle; Anita Salugsugan

An automated test wafer procedure has been developed using the KLA 2020 wafer inspector to measure registration and critical dimensions on production wafers. The procedure reduces operator interactions to loading the wafer and entering information for wafer identification. The analysis of the registration data is performed on a PC using the methods established by Perloff to determine both intrafield and grid errors. These results are then used to correct the stepper. CD data is also analyzed by the program and corrections to the exposure time are calculated. It was found that the KLA 2020 is as much as 10 times faster and 4 times more precise in obtaining registration data then an operator reading optical verniers on a microscope. Due to the high precision of the reading, the analysis does not need a large number of readings to obtain precise and accurate stepper corrections. Further, significant improvements can be obtained by adding registration targets to measure the intrafield errors. Using the KLA 2020 and computer analysis we have demonstrated an ability to reduce the errors for a manually aligned run to a one sigma distribution of 0.09 um for x and y translation, 0.4 PPM for scaling and orthogonality, and 2.3 PPM for rotation from the first test wafer for a GCA 6100. Nearly all of this variation is due to operator misalignment or the inability of the stepper to correct the errors. The corrections with this technique measuring the same wafer are precise to + 0.01 um in translation and + 0.5 PPM for rotation, scaling, and orthogonality. It has also been shown that a simple linear equation can be used to correct exposure time, even when a process is not tightly controlled.


Archive | 1997

Method for making shallow trench marks

Larry Wang; Craig S. Sander; Anna Maria Minvielle


Archive | 2005

System and method for fabricating contact holes

Anna Maria Minvielle; Cyrus E. Tabery; Hung-Eil Kim; Jongwook Kye

Collaboration


Dive into the Anna Maria Minvielle's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge