Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Azat Latypov is active.

Publication


Featured researches published by Azat Latypov.


Japanese Journal of Applied Physics | 2014

Computational simulations and parametric studies for directed self-assembly process development and solution of the inverse directed self-assembly problem

Azat Latypov; Grant Garner; Moshe Preil; Gerard M. Schmid; Wei-Long Wang; Ji Xu; Yi Zou

Approaches to the computational simulation of directed self-assembly (DSA) of block copolymers based on Monte-Carlo methods and self-consistent field theory are presented and reviewed, with an emphasis on computational models of DSA processes usable for fabrication of integrated circuits (ICs). Applications of such models are illustrated by presenting the results of simulations used in the development of DSA fabrication processes. The inverse DSA problem, or DSA proximity correction (DSA PC) problem, is formulated, and the methods for its computational solution are presented. The application of one of these methods is illustrated by demonstrating co-optimization of optical proximity correction (OPC) and DSA PC for IC vias fabricated using a graphoepitaxy DSA process.


Proceedings of SPIE | 2013

Exploration of the directed self-assembly based nano-fabrication design space using computational simulations

Azat Latypov; Moshe Preil; Gerard M. Schmid; Ji Xu; He Yi; Kenji Yoshimoto; Yi Zou

Properly designed geometries of directing pre-patterns broaden the set of lattice symmetries and the local arrangements of patterns achievable by directed self-assembly (DSA) of block copolymers (BCP), compared to the ones achievable in un-directed, bulk systems. We present the results of parametric computational simulation studies, concentrating on exploring the chemoepitaxy or graphoepitaxy directing geometries yielding the DSA structures needed for typical integrated circuits, but not achievable in bulk, undirected annealing of BCP. The examples include the parametric studies of chemoepitaxy and graphoepitaxy DSA patterns etch-transferrable, respectively, into isolated lines and contact hole arrays. The results of the DSA defect simulations are also presented and discussed.


Proceedings of SPIE | 2013

Computational simulation of block copolymer directed self-assembly in small topographical guiding templates

He Yi; Azat Latypov; H.-S. Philip Wong

Directed self-assembly (DSA) of block copolymers (BCP) has attracted significant interest due to its promising potential as the next generation lithography candidate. In this paper we used Self-Consistent-Field-Theory (SCFT) to computationally simulate the equilibrium behavior of self-assembly inside a confinement well, which is also known as graphoepitaxy. More specifically, we studied the DSA of cylinder-forming block copolymers for contact hole/via patterning, mainly focusing on 1-hole DSA pattern inside confinement well. The SCFT simulation results of parametric studies for confinement well sizes ranging from 50nm to 90nm, different polymer film thicknesses and a range of the wall/substrate affinity parameter values, are presented, as well as verified by experiments.


great lakes symposium on vlsi | 2015

Directed Self-Assembly Based Cut Mask Optimization for Unidirectional Design

Jiaojiao Ou; Bei Yu; Jhih-Rong Gao; David Z. Pan; Moshe Preil; Azat Latypov

Unidirectional design has attracted lots of attention with the scaling down of technology nodes. However, due to the limitation of traditional lithography, printing the randomly distributed dense cuts becomes a big challenge for highly scaled unidirectional layout. Recently directed self-assembly (DSA) has emerged as a promising lithography technique candidate for cut manufacturing because of its ability to form small cylinders inside the guiding templates and the actual pattern size can be greatly reduced. In this paper, we perform a comprehensive study on the DSA cut mask optimization problem. We first formulate it as integer linear programming (ILP) to assign cuts to different guiding templates, targeting at minimum conflicts and line-end extensions. As ILP may not be scalable for very large size problem, we further propose a speed-up method to decompose the problem into smaller ones and solve them separately. We then merge and legalize the solutions without much loss of result quality. The proposed approaches can be easily extended to handle more DSA guiding patterns with complicated shapes. Experimental results show that our methods can significantly reduce the total number of unresolvable patterns and the line-end extensions for the targeted layouts.


Proceedings of SPIE | 2014

A full-chip DSA correction framework

Wei-Long Wang; Azat Latypov; Yi Zou; Tamer Coskun

The graphoepitaxy DSA process relies on lithographically created confinement wells to perform directed self-assembly in the thin film of the block copolymer. These self-assembled patterns are then etch transferred into the substrate. The conventional DUV immersion or EUV lithography is still required to print these confinement wells, and the lithographic patterning residual errors propagate to the final patterns created by DSA process. DSA proximity correction (PC), in addition to OPC, is essential to obtain accurate confinement well shapes that resolve the final DSA patterns precisely. In this study, we proposed a novel correction flow that integrates our co-optimization algorithms, rigorous 2-D DSA simulation engine, and OPC tool. This flow enables us to optimize our process and integration as well as provides a guidance to design optimization. We also showed that novel RET techniques such as DSA-Aware assist feature generation can be used to improve the process window. The feasibility of our DSA correction framework on large layout with promising correction accuracy has been demonstrated. A robust and efficient correction algorithm is also determined by rigorous verification studies. We also explored how the knowledge of DSA natural pitches and lithography printing constraints provide a good guidance to establish DSA-Friendly designs. Finally application of our DSA full-chip computational correction framework to several real designs of contact-like holes is discussed. We also summarize the challenges associated with computational DSA technology.


Proceedings of SPIE | 2014

Simulations of spatial DSA morphology, DSA-aware assist features and block copolymer-homopolymer blends

Azat Latypov; Tamer Coskun; Grant Garner; Moshe Preil; Gerard M. Schmid; Ji Xu; Yi Zou

Further enhancements to Monte Carlo and Self-Consistent Field Theory Directed Self-Assembly (DSA) simulation capabilities implemented in GLOBALFOUNDRIES are presented and discussed, along with the results of their applications. We present the simulation studies of DSA in graphoepitaxy confinement wells, where the DSA process parameters are varied in order to determine the optimal set of parameters resulting in a robust and etch transferrable phase morphology. A novel concept of DSA-aware assist features for the optical lithography process is presented and demonstrated in simulations. The results of the DSA simulations and studies for the DSA process using a blend of homopolymers and diblock copolymers are also presented and compared with the simulated diblock copolymer systems.


Proceedings of SPIE | 2013

Computational solution of inverse directed self-assembly problem

Azat Latypov

Predicting directed self-assembly (DSA) patterns from given chemoepitaxy or graphoepitaxy directing patterns is a well known direct problem of computational DSA simulations. This paper concentrates on inverse problem of DSA – finding directing graphoepitaxy or chemoepitaxy patterns, resulting in given desired DSA patterns. Approaches to computational solution of inverse DSA problem are discussed, particularly the ones based on a linearization of the DSA model and minimizing the objective function ensuring the formation of the desired DSA patterns. We illustrate these approaches by presenting the results of their application to an inverse DSA problem for contact holes patterned using graphoepitaxy guiding templates.


Proceedings of SPIE | 2012

EUV OPC for the 20-nm node and beyond

Chris Clifford; Yi Zou; Azat Latypov; Oleg Kritsun; Thomas Wallow; Harry J. Levinson; Fan Jiang; Deniz E. Civay; Keith Standiford; Ralph Schlief; Lei Sun; Obert Wood; Sudhar Raghunathan; Pawitter Mangat; Hui Peng Koh; Craig Higgins; Jeffrey Schefske; Mandeep Singh

Although the k1 factor is large for extreme ultraviolet (EUV) lithography compared to deep ultraviolet (DUV) lithography, OPC is still needed to print the intended patterns on the wafer. This is primarily because of new non-idealities, related to the inability of materials to absorb, reflect, or refract light well at 13.5nm, which must be corrected by OPC. So, for EUV, OPC is much more than conventional optical proximity correction. This work will focus on EUV OPC error sources in the context of an EUV OPC specific error budget for future technology nodes. The three error sources considered in this paper are flare, horizontal and vertical print differences, and mask writing errors. The OPC flow and computation requirements of EUV OPC are analyzed as well and compared to DUV. Conventional optical proximity correction is simpler and faster for EUV compared to DUV because of the larger k1 factor. But, flare and H-V biasing make exploitation of design hierarchy more difficult.


Proceedings of SPIE | 2014

Towards the fast DSA: improvement of computational performance of the DSA model

Azat Latypov

The approaches to improve the performance of the DSA (directed self-assembly) computational models are being reviewed and discussed. We start by reviewing the computational complexity of a rigorous self-consistent field theory (SCFT) DSA model and also the currently utilized ways to reduce this computational complexity and improve its performance, as implemented in GLOBALFOUNDRIES DSA Solver. We proceed with presenting new approximations in the SCFT model making it possible to improve the computational performance of the DSA model further.


Proceedings of SPIE | 2017

Optimization of stochastic EUV resist models parameters to mitigate line edge roughness

John J. Biafore; Azat Latypov; Anindarupa Chunder; Andy Brendler; Harry J. Levinson; Todd Bailey

The optimization problem of reducing EUV line edge roughness (LER) of a given feature, subject to the tolerance constraints on a CD of this feature at nominal EUV process conditions and several off-nominal conditions, is formulated. A stochastic rigorous Monte-Carlo EUV resist model is employed to solve this stochastic optimization problem. Several options for optimization algorithms, suitable for the solution of the formulated EUV LER optimization problem, are presented and discussed, along with the results of their tests.

Collaboration


Dive into the Azat Latypov's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge