Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Gerard M. Schmid is active.

Publication


Featured researches published by Gerard M. Schmid.


Journal of Vacuum Science & Technology B | 2002

Acid catalyst mobility in resist resins

Michael D. Stewart; Hoang Vi Tran; Gerard M. Schmid; Timothy B. Stachowiak; Darren J. Becker; C. Grant Willson

In a chemically amplified resist absorbed photons generate stable catalyst molecules instead of directly switching resist solubility via photochemical reaction. This allows for much lower exposure doses to be used in imaging. Some catalyst mobility is necessary to achieve amplification since the catalyst must move from reaction site to reaction site, but a mobile catalyst can blur the deposited aerial image. Catalyst molecules that are free to move in exposed regions are also free to move into adjacent unexposed regions. Understanding acid catalyst diffusion in photoresist resins is complicated by the constantly changing chemical environment the diffusing catalyst experiences as the resist undergoes chemical reactions. The diffusing catalyst promotes chemical reactions which change the properties of its surrounding resin. In addition, it is possible a transient material state is generated by volatile reaction byproducts and their desorption from the film. In most photoresist systems it is impossible to se...


Journal of Vacuum Science & Technology B | 2006

Implementation of an imprint damascene process for interconnect fabrication

Gerard M. Schmid; Michael D. Stewart; Jeffrey Wetzel; Frank Palmieri; Jianjun Hao; Yukio Nishimura; Kane Jen; Eui Kyoon Kim; Douglas J. Resnick; J. Alexander Liddle; C. Grant Willson

Advanced integrated circuits require eight or more levels of wiring to transmit electrical signal and power among devices and to external circuitry. Each wiring level connects to the levels above and below it through via layers. The dual damascene approach to fabricating these interconnected structures creates a wiring level and a via level simultaneously, thereby reducing the total number of processing steps. However, the dual damascene strategy (of which there are several variations) still requires around 20 process steps per wiring layer. In this work, an approach to damascene processing that is based on step-and-flash imprint lithography (SFIL) is discussed. This imprint damascene process requires fewer than half as many steps as the standard photolithographic dual damascene approach. Through use of a template with two tiers of patterning, a single imprint lithography step can replace two photolithography steps. Further improvements in efficiency are possible if the imprint material is itself a functi...


Journal of Vacuum Science & Technology B | 2009

Step and flash imprint lithography for manufacturing patterned media

Gerard M. Schmid; Mike Miller; Cynthia B. Brooks; Niyaz Khusnatdinov; Dwayne L. LaBrake; Douglas J. Resnick; S. V. Sreenivasan; Gene Gauzner; Kim Y. Lee; David M.-T. Kuo; D. Weller; XiaoMin Yang

The ever-growing demand for hard drives with greater storage density has motivated a technology shift from continuous magnetic media to patterned media hard disks, which are expected to be implemented in future generations of hard disk drives to provide data storage at densities exceeding 1012 bits/in.2. Step and flash imprint lithography (S-FIL) technology has been employed to pattern the hard disk substrates. This article discusses the infrastructure required to enable S-FIL in high-volume manufacturing, namely, fabrication of master templates, template replication, high-volume imprinting with precisely controlled residual layers, and dual-sided imprinting. Imprinting of disks is demonstrated with substrate throughput currently as high as 180 disks/h (dual sided). These processes are applied to patterning hard disk substrates with both discrete tracks and bit-patterned designs.


Progress in Biomedical Optics and Imaging - Proceedings of SPIE | 2005

Direct Imprinting of Dielectric Materials for Dual Damascene Processing

Michael D. Stewart; Jeffery T. Wetzel; Gerard M. Schmid; Frank Palmieri; Ecron Thompson; Eui Kyoon Kim; David Wang; Kane Jen; Stephen C. Johnson; Jianjun Hao; Michael D. Dickey; Yukio Nishimura; Richard M. Laine; Douglas J. Resnick; C. Grant Willson

Advanced microprocessors require several (eight or more) levels of wiring to carry signal and power from transistor to transistor and to the outside world. Each wiring level must make connection to the levels above and below it through via/contact layers. The dual damascene approach to fabricating these interconnected structures creates a wiring level and a via level simultaneously, thereby reducing the total number of processing steps. However, the dual damascene strategy (of which there are several variations) still requires around twenty process steps per wiring layer. In this work, an approach to damascene processing that is based on step-and-flash imprint lithography (SFIL) is discussed. This imprint damascene process requires fewer than half as many steps as the standard photolithographic dual damascene approach. By using an imprint template with two levels of patterning, a single imprint lithography step can replace two photolithography steps. Further efficiencies are possible if the imprint resist material is itself a functional dielectric material. This work is a demonstration of the compatibility of imprint lithography (specifically SFIL) with back-end-of-line processing using a dual damascene approach with functional materials.


Advances in Resist Technology and Processing XXI | 2004

Resolution limitations in chemically amplified photoresist systems

Gerard M. Schmid; Michael D. Stewart; Chia-Ying Wang; Bryan D. Vogt; Vivek M. Prabhu; Eric K. Lin; C. G. Willson

A variety of experimental evidence suggests that positive-tone chemically amplified photoresists have an intrinsic bias that might limit resolution during high-volume lithographic processing. If this is true, the implications for the semiconductor industry require careful consideration. The design concept of chemical amplification is based on generation of a chemically stable catalytic species in exposed regions of the photoresist film. The catalytic action of the photoproducts on the photoresist polymer causes a change in the dissolution rate in the irradiated regions of the film. Formation of a stable catalyst species is required for chemical amplification, but it has long been recognized that catalyst migration can produce a difference between the initial distribution of exposure energy and the final distribution of photoproducts. This difference, known as diffusion bias, depends on the photoresist chemistry and processing conditions. Diffusion bias is insensitive to exposure conditions, but it is possible to reduce catalyst migration through changes to resist formulation such as increasing the size of the catalyst molecule or processing conditions such as reducing the post exposure bake temperature. Another common approach to limiting diffusion bias is to incorporate base additives into the photoresist formulation to scavenge diffusing acid catalyst. All of these approaches to reducing catalyst migration generally reduce the catalytic efficiency of each photoproduct and therefore increase the total exposure dose required to pattern the film. Increases in required exposure dosage reduce the throughput of the exposure tools and can reduce the profitability of the manufacturing process. In this paper we present experimental results that are suggestive of an intrinsic photoresist bias. This diffusion bias sets a minimum resolution limit for chemically amplified resist systems that can be improved at the cost of reduced throughput and productivity.


Journal of Vacuum Science & Technology B | 2002

Spatial distribution of reaction products in positive tone chemically amplified resists

Gerard M. Schmid; Michael D. Stewart; Vivek Singh; C. Grant Willson

The perpetual advancement of materials and equipment for microlithography has resulted in the ability to print critical dimensions that approach the size of the molecules that make up photoresists. As a result, molecular scale effects such as line edge roughness have become a concern for both resist manufacturers and process engineers. In this work we have investigated the increasing importance of molecular level effects, especially in terms of the contributions of the exposure and postexposure bake (PEB) steps to spatial variations in film composition. A mesoscale simulation of the PEB was used to model the discrete mass transport and reaction events that create the changes in film composition responsible for resist function. Local irregularities in resist composition are generated during the PEB, the magnitude of which can be related to the local concentration of acid. This study is focused on the establishment of an understanding of the effects of process and composition variables on the reaction produ...


Journal of Vacuum Science and Technology | 2004

Nonaqueous development of silsesquioxane electron beam resist

Gerard M. Schmid; Leslie E. Carpenter; J. Alexander Liddle

While the primary use of hydrogen silsesquioxane (HSQ) is as a dielectric in microelectronics fabrication, this material is also capable of forming high resolution, negative-tone features with low roughness when patterned with an electron beam. Unfortunately, under common processing conditions HSQ is relatively insensitive to electron beam exposure; poor reproducibility has also been observed. HSQ postexposure processing typically consists of development via immersion in an industry-standard aqueous solution of base, followed by rinsing with water or isopropanol. While other resist materials have been specifically designed for compatibility with aqueous base processing, HSQ is known to be chemically unstable in the presence of base. We report that several organic solvents that are not reactive towards HSQ are less aggressive at removing the exposed regions of the film. As a result, it is possible to successfully image HSQ with markedly reduced exposure dose. The considerable difference in exposure dose ca...


Emerging Lithographic Technologies VIII | 2004

Mesoscale modeling for SFIL simulating polymerization kinetics and densification

Ryan L. Burns; Stephen C. Johnson; Gerard M. Schmid; Eui K. Kim; Michael D. Dickey; Jason E. Meiring; Sean D. Burns; Nicholas A. Stacey; C. Grant Willson; Diana Convey; Yi Wei; Peter Fejes; Kathleen A. Gehoski; David P. Mancini; Kevin J. Nordquist; William J. Dauksher; Douglas J. Resnick

Step and Flash Imprint Lithography (SFIL) is a revolutionary next generation lithography option that has become increasingly attractive in recent years. Elimination of the costly optics of current step and scan imaging tools makes SFIL a serious candidate for large-scale commercial patterning of critical dimensions below ~50 nm. This work focuses on the kinetics of the UV curing of the liquid etch barrier and the resulting densification/contraction of the etch barrier as it solidifies during this step. Previous experimental work in our group has measured the bulk densification of several etch barrier formulations, typically about 9 % (v/v). It remains unknown, however, how much etch barrier contraction occurs during the formation of nano-scale features. Furthermore, it is of interest to examine how changes in monomer pendant group size impact imprinted feature profiles. This work provides answers to these questions through a combination of modeling and experimental efforts. Densification due to the photopolymerization reaction and the resulting shift from Van der Waals’ to covalent interactions is modeled using Monte-Carlo techniques. The model allows for determination of extent of reaction, degree of polymerization, and local density changes as a function of the etch barrier formulation and the interaction energies between molecules (including the quartz template). Experimental efforts focus on a new technique to examine trench profiles in the quartz template using TEM characterization. Additionally, SEM images of imprinted images from various etch barrier formulations were examined to determine local contraction of the etch barrier. Over a large range of etch barrier formulations, which range from 10 - 20 % volumetric contraction as bulk materials, it was found that dense 100 nm lines printed approximately the same size and shape.


Journal of The Electrochemical Society | 1999

Electrochemical Etching of Silicon in Nonaqueous Electrolytes Containing Hydrogen Fluoride or Fluoroborate

John C. Flake; Melissa M. Rieger; Gerard M. Schmid; Paul A. Kohl

The electrochemical behavior and surface chemistry of anodic silicon etching in nonaqueous electrolytes was studied. Etching of single‐crystal p‐type and n‐type (100) silicon was carried out in acetonitrile and propylene carbonate with hydrofluoric acid (HF) or tetrafluoroborate providing fluoride to complex the oxidized silicon. Electrolytes containing HF resulted in tetravalent dissolution, and photocurrent quadrupling was observed. Electrolytes containing also resulted in tetravalent dissolution; however, calculated quantum efficiencies were lower depending upon the electrolyte. Current‐voltage behavior indicates the presence of surface states which affect both the onset potential for oxidation and the current multiplication. In situ multiple internal reflection Fourier transform infrared analysis confirms that silicon surfaces etched in electrolytes containing HF remain hydride‐terminated throughout etching; however, silicon etched in based electrolytes loses the initial hydride termination at the onset of etching.


Journal of Vacuum Science & Technology B | 2005

Effects of etch barrier densification on step and flash imprint lithography

Stephen C. Johnson; Ryan L. Burns; Eui Kyoon Kim; Michael D. Dickey; Gerard M. Schmid; Jason E. Meiring; Sean D. Burns; C. G. Willson; Diana Convey; Yi Wei; Peter Fejes; Kathleen A. Gehoski; David P. Mancini; Kevin J. Nordquist; William J. Dauksher; Douglas J. Resnick

Previous work with the mechanical properties of step and flash imprint lithography etch barrier materials has shown bulk volumetric shrinkage trends that could impact imprinted feature dimensions and profile. This article uses mesoscopic and finite element modeling techniques to model the behavior of the etch barrier during polymerization. Model results are then compared to cross section images of template and etch barrier. Volumetric shrinkage is seen to impact imprinted feature profiles largely as a change in feature height.

Collaboration


Dive into the Gerard M. Schmid's collaboration.

Top Co-Authors

Avatar

Ecron Thompson

University of Texas System

View shared research outputs
Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Michael D. Stewart

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Niyaz Khusnatdinov

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Michael N. Miller

University of Texas System

View shared research outputs
Top Co-Authors

Avatar

Frank Y. Xu

University of Texas System

View shared research outputs
Top Co-Authors

Avatar

Byung-Jin Choi

University of Texas System

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge