Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Christopher J. Progler is active.

Publication


Featured researches published by Christopher J. Progler.


26th Annual International Symposium on Microlithography | 2001

Scattered light: the increasing problem for 193-nm exposure tools and beyond

Kafai Lai; ChungHsi J. Wu; Christopher J. Progler

Scattered light, especially in the mid spatial frequency range, is shown to be more prominent and critical for exposure tool at 193nm and beyond in addition to the figure error represented by 37 Zernike polynomials. Image quality is degraded by the scattered light. An imaging model based on the concept of Power Spectral Density (PSD) is developed on a telecentric exposure system with Kohler illumination. The PSD takes into account of the different regime of spatial frequency of the roughness in the system. The imaging model uses two set of PSDs (either Gaussian, K-correlation or Fractal) one from the condenser/reticle roughness and the other from projection optics roughness. Each PSD is described by only 2 parameters. The simulation shows that condenser roughness modifies the source shape and reduces spatial coherence of the source. The projection optics roughness degrades image quality and cause long range light scattering into opaque region. This model provided a efficient framework for the study of the impact of scattered light on various lithographic techniques, including double exposure.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Zernike coefficients: are they really enough?

Christopher J. Progler; Alfred K. K. Wong

Zernike aberration coefficients are routinely used in simulation exercises for lithographic printing and the discovery of Zernike values is considered strategic for many lithographic process engineers. Thanks to progress in actinic interferometry and resist based evaluation techniques, reliable estimates of Zernike component magnitudes are possible in many cases. It is expected that wavelength reductions from 248 nm and 193 nm to 157 nm along with continued use of aspheric elements in high NA designs will lead to an increase in the component of wavefront aberration that is not adequately characterized by a 36 term Zernike polynomial. Wavefront errors not well characterized by a conventional Zernike fit are variously termed mid- spatial frequency errors, lack of fit, residuals, flare, scatter etc. This paper explores the importance, characterization and analysis of these residual errors and attempts to clarify the boundaries between the various classes of wavefront error. We find that an accurate assessment an model of lens performance requires the inclusion of both residual wavefront errors and flare effects in addition to the customary 36 term Zernike expansion.


Journal of Micro-nanolithography Mems and Moems | 2003

Understanding chromatic aberration impacts on lithographic imaging

Kafai Lai; Ivan Lalovic; Bob Fair; Armen Kroyan; Christopher J. Progler; Nigel R. Farrar; Dennis B. Ames; Khurshid Ahmed

Recent development of high-precision aberration measurement techniques has enabled in situ characterization of the aberration response to wavelength offset. These measurements show that majority of the reconstructed Zernike terms exhibit some degree of sensitivity to wavelength. Although this dependence diminishes with the increasing order of Zernike polynomial, we consider the cumulative contribution of five Zernike terms, which have the strongest wavelength dependence ( Z2, Z4, Z6, Z8, and Z11 ). The imaging impacts of KrF laser wavelength and spectral bandwidth are investigated using aerial image simulation; the behavior of the process window, mask error enhancement factor (MEEF), image placement, proximity effect, and sidelobe intensity is quantified. In this model, the chromatic aberrations are experimentally measured in a 0.68-NA KrF step-and-scan exposure system using the LITEL aberration test (InspecStep interferometer manufactured by LITEL Instruments, Inc., San Diego, California). The illumination spectrum input is characterized by spectroscopic measurement of a 2-KHz KrF laser source. In the lithography model, it is important to incorporate all of the wavelength-sensitive terms due to the additive contribution to the overall lens aberration balance. As shown previously, the longitudinal and lateral chromatic aberrations (image height and magnification) are the most sensitive to shift in center wavelength and have the strongest contribution to the aerial image modulation. Simulation results show several imaging changes for isolated lines and contact holes with changes in illumination spectrum. However, the rates of change are shown to decrease as bandwidth is reduced well into the subpicometer level. In the case of isolated contacts, the depth of focus (DOF) increases with the increase in bandwidth, however, at the expense of reduced exposure latitude. This suggests that engineering the spectral output of the laser can provide some process enhancement, although careful compromise is needed to utilize any DOF enhancement, since other image metrics including MEEF, side-lobe intensity, and image placement are also affected.


23rd Annual International Symposium on Microlithography | 1998

Optical lens specifications from the user's perspective

Christopher J. Progler; Donald C. Wheeler

We develop three main topics in support of further understanding and specifying wavefront aberrations from the lithographers point of view. The concept of the Magnitude Weighted Aberration is introduced providing a convenient and rapid numerical method for assessing the interaction of wavefront aberrations with reticle pattern and illumination mode. This analysis suggests that the advanced lithographic lens user will require unprecedented correction on the total wavefront aberration to realize the full potential of the imaging system in high yielding integrated circuit fabrication. Specific details on the required aberration control are provided with a Monte Carlo tolerancing analysis of the RMS wavefront error using lithographic CD control and pattern placement as quality metrics. Patten placement proves to be as sensitive to wavefront aberrations as CD control forcing a tight specification on the asymmetric aberration components even when a large focus and exposure latitude is available. Based on the wavefront specifications generated it is imperative that the lithographic lens user be able to independently de-couple and quantify the state of certain aberration coefficients. Toward this goal, we demonstrate an aberration reverse engineering procedure using experimental pattern placement error as the input response.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Application of blazed gratings for determination of equivalent primary azimuthal aberrations

Joseph P. Kirk; Christopher J. Progler

Aberrations are determined from wafers exposed using a test reticle having blazed gratings with orientations from 0 to 337.5 degrees in increments of 22.5 degrees. The image of the phase grating is micro stepped thorough focus on the surface of high absorption photoresist. A second blanket exposure is applied to the latent images to make the developed resist images have a surface relief linearly related to the aerial image intensity. The first order diffraction efficiency of this surface grating is directly propagational to the first harmonic of the surface relief. This diffraction efficiency is recorded as a digitized dark- field image and processed to evalute the aberrations. These images are simulated and matched to those recorded in the photoresist by adjusting the aberrations to achieve a best match. The primary azimuthal aberration contributions are separated by Fourier analysis into coma, astigmatism, 3 leaf clover, etc. and laser used to indicate lens quality. Several grating frequencies are required to separate higher order components of each azimuthal aberration. Only one grating frequency is used in the data reported here and all orders of each azimuthal aberration are lumped into equivalent primary azimuthal aberrations.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Method to budget and optimize total device overlay

Christopher J. Progler; Scott J. Bukofsky; Donald C. Wheeler

We combine lithographic simulation, experimental data and statistical modeling to build a predictive estimator of total device overlay. To generate accurate predictions of total overlay, we include error estimates on lens image placement, CD control, reticle and exposure tool alignment. Instead of combining these errors in ad hoc root sum square fashion to make overlay estimates, we construct a physical model of the device and metrology marker edge placement processes. The model comprehends the differential placement of metrology structures and device features due to lens and illumination system asymmetries and is therefore applicable to the evaluation of arbitrary illumination and pattern geometry conditions. Since we attempt to model the relative placement distribution of specific device features, the model produces overlay estimates that are directly relevant for device performance. The comparison of our total overlay estimate to device overlay sensitivity data allows a projection of the overlay related yield loss for a given device, process and tools et. Finally, our model allows the process engineer to made informative choices on the optimum error sources to pursue for improving overlay.


Lithography for semiconductor manufacturing. Conference | 2001

Simulation-enabled decision making in advanced lithographic manufacturing

Christopher J. Progler

Spatial modeling methods to improve manufacturing implementation and evaluate process capability are described. The basic elements of the simulation environment are developed and applied to answer real world manufacturing questions on process transfer risks, exposure system quality and paths to improving manufacturing performance. The hidden value in process and tool characterization data is leveraged through predictive modeling techniques and the eventual ink of advanced modeling to process control on the manufacturing line is anticipated. This paper is written in survey fashion offering examples, suggestions and references for those interested in developing or improving a simulation infrastructure for lithographic manufacturing.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Interaction of pattern orientation and lens quality on CD and overlay errors

Scott J. Bukofsky; Christopher J. Progler

As product error budgets continue to shrink with decreasing ground rule, more attention is being paid to the effects of exposure tool lens aberrations. Interaction of the reticle pattern with the lens can cause both image placement shifts as well as variations of critical dimensions across the exposure field. A particularly subtle effect is the interaction of the reticle pattern orientation with lens aberrations. It can be shown that there is often a large difference in aberration-driven errors for patterns oriented differently relative to the lens axis. This paper develops the physical model behind this phenomenon as a function of pattern aspect ratio and orientation. Specific examines are given in reference to line width control and overlay for typical DRAM patterns, using both simulation and experimental dat. In addition, optimization schemes for pattern orientation are explored, as well as implications for practical implementation on exposure tools .We also show that pattern orientation can be optimized on a level-by- level basis to provide great benefit in CD and overlay error performance.


26th Annual International Symposium on Microlithography | 2001

Characterization of linewidth variation on 248- and 193-nm exposure tools

Allen H. Gabor; Timothy A. Brunner; Jia Chen; Norman Chen; Sadanand V. Deshpande; Richard A. Ferguson; David V. Horak; Steven J. Holmes; Lars W. Liebmann; Scott M. Mansfield; Antoinette F. Molless; Christopher J. Progler; Paul A. Rabidoux; Deborah Ryan; Peter Talvi; Len Y. Tsou; Ben R. Vampatella; Alfred K. K. Wong; Qingyun Yang; Chienfan Yu

The line-width variation of a 193 nm lithographic process utilizing a 0.60 NA scanner and a binary reticle is compared to that of a 248 nm lithographic processes utilizing a 0.68 NA scanner and a variety of reticle technologies. These include binary, attenuated PSM with assist features and alternating PSM reticles. Despite the fact that the 193 nm tool has a lower NA and that the data was generated using a binary reticle, the 193 nm lithographic process allows for the line-width values to be pushed lower than previously achieved with 248 nm lithographic processes. The 3-sigma values from 4000 electrical line-width measurements per wafer (160 measurements per 25*25 mm field, 25 fields per wafer) were calculated for different mask features. The 193 nm process was capable of reaching line-widths needed for future generations of advance logic chips. Compared to the 193 nm process utilizing a binary reticle, only the 248 nm processes utilizing either an attenuated PSM with assist features or an alternating PSM reticle had similarly low line-width variation. The 248 nm processes utilizing a binary reticle had higher line-width variation even at larger poly gate conductor line-widths.


Archive | 1991

Proximity alignment using polarized illumination and double conjugate projection lens

Christopher J. Progler

Researchain Logo
Decentralizing Knowledge