Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Daniel Corliss is active.

Publication


Featured researches published by Daniel Corliss.


Proceedings of SPIE | 2010

Performance of FlexRay: a fully programmable illumination system for generation of freeform sources on high NA immersion systems

Andre Engelen; Oscar Noordman; Gert Streutker; Bert van Drieenhuizen; Cas van Nuenen; Wilfred Edward Endendijk; Jef Verbeeck; Wim Bouman; Anita Bouma; Robert Kazinczi; Robert John Socha; Dirk Jürgens; Joerg Zimmermann; Bastian Trauter; Joost Bekaert; Bart Laenens; Daniel Corliss; Greg McIntyre

This paper describes the principle and performance of FlexRay, a fully programmable illuminator for high NA immersion systems. Sources can be generated on demand, by manipulating an array of mirrors instead of the traditional way of inserting optical elements and changing lens positions. On demand (freeform) source availability allows for reduction in R&D cycle time and shrink in k1. Unlimited tuning allows for better machine to machine matching. FlexRay has been integrated in a 1.35NA TWINSCAN exposure system. We will present data of FlexRay using measured traditional and freeform illumination sources. In addition system performance qualification data on stability, reproducibility and imaging will be shown. The benefit of FlexRay for SMO enabling shrink is demonstrated using an SRAM example.


Proceedings of SPIE | 2009

Experimental result and simulation analysis for the use of pixelated illumination from source mask optimization for 22nm logic lithography process

Kafai Lai; Alan E. Rosenbluth; Saeed Bagheri; John A. Hoffnagle; Kehan Tian; David O. Melville; Jaione Tirapu-Azpiroz; Moutaz Fakhry; Young Kim; Scott Halle; Greg McIntyre; Alfred Wagner; Geoffrey W. Burr; Martin Burkhardt; Daniel Corliss; Emily Gallagher; Tom Faure; Michael S. Hibbs; Donis G. Flagello; Joerg Zimmermann; Bernhard Kneer; Frank Rohmund; Frank Hartung; Christoph Hennerkes; Manfred Maul; Robert Kazinczi; Andre Engelen; Rene Carpaij; Remco Jochem Sebastiaan Groenendijk; Joost Hageman

We demonstrate experimentally for the first time the feasibility of applying SMO technology using pixelated illumination. Wafer images of SRAM contact holes were obtained to confirm the feasibility of using SMO for 22nm node lithography. There are still challenges in other areas of SMO integration such as mask build, mask inspection and repair, process modeling, full chip design issues and pixelated illumination, which is the emphasis in this paper. In this first attempt we successfully designed a manufacturable pixelated source and had it fabricated and installed in an exposure tool. The printing result is satisfactory, although there are still some deviations of the wafer image from simulation prediction. Further experiment and modeling of the impact of errors in source design and manufacturing will proceed in more detail. We believe that by tightening all kind of specification and optimizing all procedures will make pixelated illumination a viable technology for 22nm or beyond. Publishers Note: The author listing for this paper has been updated to include Carsten Russ. The PDF has been updated to reflect this change.


Proceedings of SPIE | 2012

Insertion strategy for EUV lithography

Obert Wood; John C. Arnold; Timothy A. Brunner; Martin Burkhardt; James Chen; Deniz E. Civay; Susan S.-C. Fan; Emily Gallagher; Scott Halle; Ming He; Craig Higgins; Hirokazu Kato; Jongwook Kye; Chiew-seng Koay; Guillaume Landie; Pak Leung; Gregory McIntyre; Satoshi Nagai; Karen Petrillo; Sudhar Raghunathan; Ralph Schlief; Lei Sun; Alfred Wagner; Tom Wallow; Yunpeng Yin; Xuelian Zhu; Matthew E. Colburn; Daniel Corliss; Cecilia C. Smolinski

The first use of extreme ultraviolet (EUV) lithography in logic manufacturing is targeted for the 14 nm node, with possible earlier application to 20-nm node logic device back-end layers to demonstrate the technology. Use of EUV lithography to pattern the via-levels will allow the use of dark-field EUV masks with low pattern densities and will postpone the day when completely defect-free EUV mask blanks are needed. The quality of the imaging at the 14 nm node with EUV lithography is considerably higher than with double-dipole or double-exposure double-etch 193-nm immersion lithography, particularly for 2-dimensional patterns such as vias, because the Rayleigh k1-value when printing with 0.25 numerical aperture (NA) EUV lithography is so much higher than with 1.35 NA 193-nm immersion lithography and the process windows with EUV lithography are huge. In this paper, the status of EUV lithography technology as seen from an end-user perspective is summarized and the current values of the most important metrics for each of the critical elements of the technology are compared to the values needed for the insertion of EUVL into production at the 14 nm technology node.


Proceedings of SPIE | 2010

Demonstrating the benefits of source-mask optimization and enabling technologies through experiment and simulations

David O. Melville; Alan E. Rosenbluth; Kehan Tian; Kafai Lai; Saeed Bagheri; Jaione Tirapu-Azpiroz; Jason Meiring; Scott Halle; Greg McIntyre; Tom Faure; Daniel Corliss; Azalia A. Krasnoperova; Lei Zhuang; Phil Strenski; Andreas Waechter; Laszlo Ladanyi; Francisco Barahona; Daniele Paolo Scarpazza; Jon Lee; Tadanobu Inoue; Masaharu Sakamoto; Hidemasa Muta; Alfred Wagner; Geoffrey W. Burr; Young Kim; Emily Gallagher; Mike Hibbs; Alexander Tritchkov; Yuri Granik; Moutaz Fakhry

In recent years the potential of Source-Mask Optimization (SMO) as an enabling technology for 22nm-and-beyond lithography has been explored and documented in the literature.1-5 It has been shown that intensive optimization of the fundamental degrees of freedom in the optical system allows for the creation of non-intuitive solutions in both the mask and the source, which leads to improved lithographic performance. These efforts have driven the need for improved controllability in illumination5-7 and have pushed the required optimization performance of mask design.8, 9 This paper will present recent experimental evidence of the performance advantage gained by intensive optimization, and enabling technologies like pixelated illumination. Controllable pixelated illumination opens up new regimes in control of proximity effects,1, 6, 7 and we will show corresponding examples of improved through-pitch performance in 22nm Resolution Enhancement Technique (RET). Simulation results will back-up the experimental results and detail the ability of SMO to drive exposure-count reduction, as well as a reduction in process variation due to critical factors such as Line Edge Roughness (LER), Mask Error Enhancement Factor (MEEF), and the Electromagnetic Field (EMF) effect. The benefits of running intensive optimization with both source and mask variables jointly has been previously discussed.1-3 This paper will build on these results by demonstrating large-scale jointly-optimized source/mask solutions and their impact on design-rule enumerated designs.


Optical Microlithography XVII | 2004

New paradigm in lens metrology for lithographic scanner: evaluation and exploration

Kafai Lai; Gregg M. Gallatin; Mark van de Kerkhof; Wim de Boeij; Haico Victor Kok; Martin Schriever; Jaime D. Morillo; Robert H. Fair; Stephanie Bennett; Daniel Corliss

A new paradigm of lens metrology, which is an on-board in-situ interferometer on a scanner, is evaluated. We called this system as Inline PMI and is based on a shearing type interferometer. Wavefront gradient data is measured and used to reconstruct a full high resolution wavefront. The system was evaluated based on short term and long term stabilities, sensitivity towards system parameters, correlation studies with PMI, a resist-based lens metrology tool and lithographic tests to establish accuracy, and model compliance test against lens model prediction. The lens was detuned with Z7-tilt and Z9 offset to extend the dynamic range of the tests. The metrology demonstrated good repeatability, accuracy and stability as well insensitivity toward environmental parameters and good compliance with lens model predictions. In addition, because of the high resolution nature of the inline PMI system high spatial frequency wavefront content can be recovered. With a derived transfer function we can recover approximately up a spatial frequency of 30 to 40 cycles/pupil diameter. This fills the gap in the power spectrum obtained by low order Zernike terms and traditional high frequency flare measurement from techniques such as disappearing pads. Inline PMI may thus enables a more complete analysis of flare in lithography, which is critical to evaluating double exposure techniques as well as bright field masks with widely varying pattern density. Overall, this on-board interferometry shows good technical performance and fast turnaround time, both of which are essential requirement in low k1-imaging in a manufacturing environment.


Proceedings of SPIE | 2015

EUV mask cleans comparison of frontside and dual-sided concurrent cleaning

Lin Lee Cheong; Louis Kindt; Christina Turley; Dusty Leonhard; John Boyle; Christopher F. Robinson; Jed H. Rankin; Daniel Corliss

The cleaning requirements for EUV masks are more complex than optical masks due to the absence of available EUVcompatible pellicles. EUV masks must therefore be capable of undergoing more than 100 cleaning cycles with minimum impact to lithographic performance. EUV masks are created on substrates with 40 multilayers of silicon and molybdenum to form a Bragg reflector, capped with a 2.5nm-thick ruthenium layer and a tantalum-based absorber; during usage, both ruthenium and absorber are exposed to the cleaning process. The CrN layer on the backside is used to enable electrostatic clamping. This clamp side must also be free of particles that could impact printing and overlay, and particles could also potentially migrate to the frontside and create defects. Thus, the cleaning process must provide decent particle removal efficiencies on both front- and backside while maintaining reflectivity with minimal surface roughness change. In this paper, we report progress developing a concurrent patterned-side and clamped-side cleaning process that achieves minimal reflectivity change over 120 cleaning cycles, with XPS and EDS indicating the presence of ruthenium after 125 cleaning cycles. The change in surface roughness over 100 cleaning cycles is within the noise (0.0086nm) on a mask blank, and SEM inspection of 100nm and 200nm features on patterned masks after undergoing 100 cleaning cycles show no indications of ruthenium pitting or significant surface damage. This process was used on test masks to remove particles from both sides that would otherwise inhibit these masks from being used in the scanner.


Proceedings of SPIE | 2014

E-beam inspection of EUV mask defects: To etch or not to etch?

Ravi Bonam; Hung-Yu Tien; Chanro Park; Scott Halle; Fei Wang; Daniel Corliss; Wei Fang; Jack Jau

EUV Lithography is aimed to be inserted into mainstream production for sub-20nm pattern fabrication. Unlike conventional optical lithography, frequent defectivity monitors (adders, repeaters etc.) are required in EUV lithography. Due to sub-20nm pattern and defect dimensions e-beam inspection of critical pattern areas is essential for yield monitor. In previous work we showed sub-10nm defect detection sensitivity1 on patterned resist wafers. In this work we report 8-10× improvement in scan rates of etched patterns compared to resist patterns without loss in defect detection sensitivity. We observed good etch transfer of sub-10nm resist features. A combination of smart scan strategies with improved etched pattern scan rates can further improve throughput of e-beam inspection. An EUV programmed defect mask with Line/Space, Contact patterns was used to evaluate printability of defects and defect detection (Die-Die and Die-Database) capability of the e-beam inspection tool. Defect inspection tool parameters such as averaging, threshold value were varied to assess its detection capability and were compared to previously obtained results on resist patterns.


advanced semiconductor manufacturing conference | 2013

E-beam inspection of EUV programmed defect wafers for printability analysis

Ravi Bonam; Scott Halle; Daniel Corliss; Hung-Yu Tien; Fei Wang; Wei Fang; Jack Jau

Understanding the effect of defect sizes and their impact on EUV lithography is an ongoing challenge due to continued scaling of devices [1], [2]. The objective of this study is to assess printability of defects on post develop photoresist wafers and their detection capability with an electron beam inspection tool on EUV resist for various patterns (Line/Space, Contacts). Total capture of defects is an important factor for assessing printability on photoresist patterned wafers and monitoring process window. In this work, we present a comparison of Die to Die (reference to programmed defect to sites on wafer) and Die to Database (program defect sites on wafer to design). A programmed defect test mask is used to understand the impact of printing mask defects at multiple lithography levels (ex. gate, metal etc.) at 20 and 14nm technology ground rules. It is designed with both additive and subtractive features at defect sizes ranging from 30nm to 1nm. The defect inspection tool parameters such as averaging, threshold value were varied to assess its detection capability.


Proceedings of SPIE | 2009

Focus and dose characterization of immersion photoclusters

Timothy A. Brunner; Daniel Corliss; Timothy J. Wiltshire; Christopher P. Ausschnitt

The process window for state of the art chip manufacturing continues to decrease, driven by higher NA exposure tools and lower k1 values. The benefits of immersion lithography for Depth of Focus (DoF) are well known. Yet even with this immersion boost, NA=1.35 tools can push DoF into sub-100nm territory. In addition, immersion processes are subject to new sources of dose and focus variation. In order to realize the full potential of immersion lithography, it is necessary to characterize, understand and attack all sources of process variation. Previous work has established our dose/focus metrology capability1, in which we expose Process Monitor Grating (PMG) targets with high sensitivity to focus, measure the PMGs using scatterometry, and use the Ausschnitt dose/focus deconvolution approach to determine focus errors to within a few nm and dose errors to within 0.1%. In this paper, we concentrate on applying this capability to the detailed measurements of immersion photoclusters utilizing ASML exposure tools. Results will include: • comparison of Twinscan 1700i and 1900i focus capability • effectiveness of the Reticle Shape Correction (RSC) for non-flat reticles • visualization of non-flat wafer chucks, tilted image planes, and other systematic focus error components • tracking of tool trends over time, using automated monitor wafer flows The highly systematic nature of the observed focus errors suggest potential for future improvements in focus capability.


Proceedings of SPIE | 2009

Manufacturing implementation of scatterometry and other techniques for 300-mm lithography tool controls

Timothy J. Wiltshire; Daniel Corliss; Timothy A. Brunner; Christopher P. Ausschnitt; R. Young; R. Nielson; Emily M. Hwang; J. Iannucci

Focus and dose control of lithography tools for leading edge semiconductor manufacturing are critical to obtaining acceptable process yields and device performance. The need for these controls is increasing due to the apparent limitation of optical water immersion lithography at NA values of approximately 1.35 and the need to use the same equipment for 45nm, 32nm, and 22nm node production. There is a rich history of lithographic controls using various techniques described in the literature. These techniques include (but are not limited to) Phase Grating Focus Monitoring1 (PGFM), optical CD control using optical overlay metrology equipment (OOCD)2,3, and in more recent years optical scatterometry4,5. Some of the techniques, even though they are technically sound, have not been practical to implement in volume manufacturing as controls for various reasons. This work describes the implementation and performance of two of these techniques (optical scatterometry and OOCD) in a volume 300mm production facility. Data to be reviewed include: - General implementation approach. - Scatterometry dose and focus stability data for 193nm immersion and 248nm dry lithography systems. - Analysis of the stability of optical scatterometry dose and focus deconvolution coefficients over time for 193nm immersion and 248nm dry systems. - Comparison between scatterometry and OOCD techniques for focus monitoring of 248nm dry systems. The presentation will also describe the practical issues with implementing these techniques as well as describe some possible extensions to enhance the current capabilities being described.

Researchain Logo
Decentralizing Knowledge