Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Dechao Guo is active.

Publication


Featured researches published by Dechao Guo.


international electron devices meeting | 2011

A manufacturable dual channel (Si and SiGe) high-k metal gate CMOS technology with multiple oxides for high performance and low power applications

Siddarth A. Krishnan; Unoh Kwon; Naim Moumen; M.W. Stoker; Eric C. Harley; Stephen W. Bedell; D. Nair; Brian J. Greene; William K. Henson; M. Chowdhury; D.P. Prakash; Ernest Y. Wu; Dimitris P. Ioannou; E. Cartier; Myung-Hee Na; Seiji Inumiya; Kevin McStay; Lisa F. Edge; Ryosuke Iijima; J. Cai; Martin M. Frank; M. Hargrove; Dechao Guo; A. Kerber; Hemanth Jagannathan; Takashi Ando; Joseph F. Shepard; Shahab Siddiqui; Min Dai; Huiming Bu

Band-gap engineering using SiGe channels to reduce the threshold voltage (VTH) in p-channel MOSFETs has enabled a simplified gate-first high-к/metal gate (HKMG) CMOS integration flow. Integrating Silicon-Germanium channels (cSiGe) on silicon wafers for SOC applications has unique challenges like the oxidation rate differential with silicon, defectivity and interface state density in the unoptimized state, and concerns with Tinv scalability. In overcoming these challenges, we show that we can leverage the superior mobility, low threshold voltage and NBTI of cSiGe channels in high-performance (HP) and low power (LP) HKMG CMOS logic MOSFETs with multiple oxides utilizing dual channels for nFET and pFET.


international electron devices meeting | 2012

22nm High-performance SOI technology featuring dual-embedded stressors, Epi-Plate High-K deep-trench embedded DRAM and self-aligned Via 15LM BEOL

Shreesh Narasimha; Paul Chang; C. Ortolland; David M. Fried; E. Engbrecht; K. Nummy; Paul C. Parries; Takashi Ando; M. Aquilino; N. Arnold; R. Bolam; J. Cai; Michael P. Chudzik; B. Cipriany; G. Costrini; Min Dai; J. Dechene; C. DeWan; B. Engel; Michael A. Gribelyuk; Dechao Guo; G. Han; N. Habib; Judson R. Holt; Dimitris P. Ioannou; Basanth Jagannathan; D. Jaeger; J. Johnson; W. Kong; J. Koshy

We present a fully-integrated SOI CMOS 22nm technology for a diverse array of high-performance applications including server microprocessors, memory controllers and ASICs. A pre-doped substrate enables scaling of this third generation of SOI deep-trench-based embedded DRAM for a dense high-performance memory hierarchy. Dual-Embedded stressor technology including SiGe and Si:C for improved carrier mobility in both PMOS and NMOS FETs is presented for the first time. A hierarchical BEOL with 15 levels of copper interconnect including self-aligned via processing delivers high performance with exceptional reliability.


symposium on vlsi technology | 2014

A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI

Kang-ill Seo; Balasubramanian S. Haran; Dinesh Gupta; Dechao Guo; Theodorus E. Standaert; R. Xie; H. Shang; Emre Alptekin; D.I. Bae; Geum-Jong Bae; C. Boye; H. Cai; D. Chanemougame; R. Chao; Kangguo Cheng; Jin Cho; K. Choi; B. Hamieh; J. Hong; Terence B. Hook; L. Jang; J. E. Jung; R. Jung; Duck-Hyung Lee; B. Lherron; R. Kambhampati; Bum-Suk Kim; H. Kim; Kyu-Sik Kim; T. S. Kim

A 10nm logic platform technology is presented for low power and high performance application with the tightest contacted poly pitch (CPP) of 64nm and metallization pitch of 48nm ever reported in the FinFET technology on both bulk and SOI substrate. A 0.053um2 SRAM bit-cell is reported with a corresponding Static Noise Margin (SNM) of 140mV at 0.75V. Intensive multi-patterning technology and various self-aligned processes have been developed with 193i lithography to overcome optical patterning limit. Multi-workfunction (WF) gate stack has been enabled to provide Vt tunability without the variability degradation induced by channel dopants.


international electron devices meeting | 2008

Gate length scaling and high drive currents enabled for high performance SOI technology using high-κ/metal gate

K. Henson; Huiming Bu; Myung-Hee Na; Y. Liang; Unoh Kwon; Siddarth A. Krishnan; James K. Schaeffer; Rashmi Jha; Naim Moumen; R. Carter; C. DeWan; R. Donaton; Dechao Guo; M. Hargrove; W. He; Renee T. Mo; K. Ramani; Kathryn T. Schonenberg; Y. Tsang; X. Wang; Michael A. Gribelyuk; W. Yan; Joseph F. Shepard; E. Cartier; M. Frank; Eric C. Harley; R. Arndt; R. Knarr; T. Bailey; B. Zhang

CMOS devices with high-k/metal gate stacks have been fabricated using a gate-first process flow and conventional stressors at gate lengths of 25 nm, highlighting the scalability of this approach for high performance SOI CMOS technology. AC drive currents of 1630muA/mum and 1190muA/mum have been demonstrated in 45 nm ground-rules at 1V and 200nA/mum off current for nFETs and pFETs, at a Tinv of 14 and 15 respectively. The drive currents were achieved using a simplified high-k/metal gate integration scheme with embedded SiGe and dual stress liners (DSL) and without utilizing additional stress enhancers. Devices have been fabricated with Tinvs down to 12 and 10.5 demonstrating the scalability of this approach for 32 nm and beyond.


international electron devices meeting | 2010

Wafer scale fabrication of carbon nanotube FETs with embedded poly-gates

Shu-Jen Han; Josephine B. Chang; Aaron D. Franklin; Ageeth A. Bol; Rainer Loesing; Dechao Guo; George S. Tulevski; Wilfried Haensch; Zhihong Chen

One critical factor that determines the feasibility of employing carbon nanotubes as channel materials for post-silicon logic devices is the process compatibility to the current CMOS process flow. We show a wafer-scale integration scheme of carbon nanotube field-effect transistor (CNFET) that is performed by 8″ production tools. High density CNT arrays were transferred on the processed wafer, and high performance CNFET with an excellent subthreshold slope (88 mV /decade) is demonstrated. We further show that the work-function tuning enabled by the conventional gate doping can be achieved in our novel embedded poly-Si gate structure. Approximate Vt change of 0.6V, from n-gate to p-gate, is observed. The Vt shift being smaller than the gate work function difference can be attributed to the Fermi level pinning between poly-Si and high-k interface.


symposium on vlsi technology | 2016

Ti and NiPt/Ti liner silicide contacts for advanced technologies

Praneet Adusumilli; Emre Alptekin; Mark Raymond; Nicolas L. Breil; F. Chafik; Christian Lavoie; D. Ferrer; S. Jain; V. Kamineni; Ahmet S. Ozcan; S. Allen; J. J. An; V. S. Basker; R. Bolam; Huiming Bu; Jin Cai; J. Demarest; Bruce B. Doris; E. Engbrecht; S. Fan; J. Fronheiser; Oleg Gluschenkov; Dechao Guo; B. Haran; D. Hilscher; Hemanth Jagannathan; D. Kang; Y. Ke; J. Kim; Siyuranga O. Koswatta

We discuss the transition to Ti based silicides for source-drain (SD) contacts for 3D FinFET devices starting from the 14nm node & beyond. Reductions in n-FET & p-FET contact resistances are reported with the optimization of metallization process & dopant concentrations. The optimization of SiGe epitaxy and addition of a thin interfacial NiPt(10%) are found to significantly improve p-FET contact performance.


symposium on vlsi technology | 2016

FINFET technology featuring high mobility SiGe channel for 10nm and beyond

Dechao Guo; Gauri Karve; Gen Tsutsui; K-Y Lim; Robert R. Robison; Terence B. Hook; R. Vega; Duixian Liu; S. Bedell; Shogo Mochizuki; Fee Li Lie; Kerem Akarvardar; M. Wang; Ruqiang Bao; S. Burns; V. Chan; Kangguo Cheng; J. Demarest; Jody A. Fronheiser; Pouya Hashemi; J. Kelly; J. Li; Nicolas Loubet; Pietro Montanini; B. Sahu; Muthumanickam Sankarapandian; S. Sieg; John R. Sporre; J. Strane; Richard G. Southwick

SiGe for channel material has been explored as a major technology element after the introduction of FINFET into CMOS technology [1-4]. Research on long channel FETs and discrete short channel FETs demonstrated benefits in mobility [1-4] and reliability [2]. Given the disruption that SiGe FIN brings, every aspect associated with SiGe FIN needs to be carefully studied towards technology insertion. In this paper, we report the latest SiGe-based FINFET CMOS technology development. CMOS FINFETs with Si-FIN nFET and SiGe-FIN pFET is demonstrated as a viable technology solution for both server and mobile applications at 10nm node and beyond.


international electron devices meeting | 2011

A novel atomic layer oxidation technique for EOT scaling in gate-last high-к/metal gate CMOS technology

Min Dai; Jinping Liu; Dechao Guo; Siddarth A. Krishnan; Joseph F. Shepard; Paul Ronsheim; Unoh Kwon; Shahab Siddiqui; Rishikesh Krishnan; Zhengwen Li; Kai Zhao; John Sudijono; Michael P. Chudzik

We demonstrated sub-1nm equivalent oxide thickness (EOT) for a gate-last high- к/metal scheme. This is enabled by (1) controllable 1000°C high temperature atomic layer oxidation on a chemical oxide (chemox) to form < 0.5 nm high quality SiO2 interfacial layer (IL); (2) nitrogen profile optimization on post high- к nitridation and anneal. Competitive gate leakage and mobility are achieved at the scaled EOT compared to a chemox IL control (0.2 nm thinner). The physical properties of the gate stack are studied by XPS and SIMS analysis.


Journal of Applied Physics | 2015

Understanding short channel mobility degradation by accurate external resistance decomposition and intrinsic mobility extraction

Tao Chu; Reinaldo A. Vega; Emre Alptekin; Dechao Guo; Huiling Shang

An intrinsic short channel mobility extraction method is proposed by measuring two short-channel devices with different channel lengths and the same source/drain and contact geometry. The constant and dynamic components of external resistance are separated. Short-channel mobility degradation is observed and its origin is studied. The possible causes of the halo doping and the non-uniformity of the inversion layer charge are accounted for. The weaker temperature dependence of short channel devices indicates that the short channel mobility degradation may result from some combination of defect-induced and Coulomb-induced scattering near the S/D regions, differing in severity between NFETs and PFETs which employ, respectively, ion implant and embedded epitaxy as the primary component of S/D design.


IEEE Electron Device Letters | 2013

Investigation of Fixed Oxide Charge and Fin Profile Effects on Bulk FinFET Device Characteristics

Bomsoo Kim; Dong-il Bae; Peter Zeitzoff; Xin Sun; Theodorus E. Standaert; Neeraj Tripathi; Andreas Scholze; Philip J. Oldiges; Dechao Guo; Huiling Shang; Kang-ill Seo

The effect of positive fixed oxide charge (Qf) on the electrical characteristics of bulk FinFET devices is investigated and newly addressed as a Fin scaling detractor. The aggressively scaled Fin width leads to abnormal subthreshold slope (SS) degradation in nMOS devices even with a long channel length, while pMOS is free of such degradation. This observation is reproduced and analyzed by a well-calibrated TCAD simulation deck with Qf introduced. A new Fin profile suppressing the Qf effect is proposed, and the benefits of the new profile are predicted in terms of variability reduction and mobility improvement, as well as Qf immunity.

Researchain Logo
Decentralizing Knowledge