Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Philip J. Oldiges is active.

Publication


Featured researches published by Philip J. Oldiges.


international electron devices meeting | 2009

Extremely thin SOI (ETSOI) CMOS with record low variability for low power system-on-chip applications

Kangguo Cheng; Ali Khakifirooz; Pranita Kulkarni; Shom Ponoth; J. Kuss; Davood Shahrjerdi; Lisa F. Edge; A. Kimball; Sivananda K. Kanakasabapathy; K. Xiu; Stefan Schmitz; Thomas N. Adam; Hong He; Nicolas Loubet; Steven J. Holmes; Sanjay Mehta; D. Yang; A. Upham; Soon-Cheon Seo; J. L. Herman; Richard Johnson; Yu Zhu; P. Jamison; B. Haran; Zhengmao Zhu; L. H. Vanamurth; S. Fan; D. Horak; Huiming Bu; Philip J. Oldiges

We present a new ETSOI CMOS integration scheme. The new process flow incorporates all benefits from our previous unipolar work. Only a single mask level is required to form raised source/drain (RSD) and extensions for both NFET and PFET. Another new feature of this work is the incorporation of two strain techniques to boost performance, (1) Si:C RSD for NFET and SiGe RSD for PFET, and (2) enhanced stress liner effect coupling with faceted RSD. Using the new flow and the stress boosters we demonstrate NFET and PFET drive currents of 640 and 490 µA/µm, respectively, at Ioff = 300 pA/µm, VDD = 0.9V, and LG = 25nm. Respectable device performance along with low GIDL makes these devices attractive for low power applications. Record low VT variability is achieved with AVt of 1.25 mV·µm in our high-k/metal-gate ETSOI. The new process flow is also capable of supporting devices with multiple gate dielectric thicknesses as well as analog devices which are demonstrated with excellent transconductance and matching characteristics.


symposium on vlsi technology | 2014

A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI

Kang-ill Seo; Balasubramanian S. Haran; Dinesh Gupta; Dechao Guo; Theodorus E. Standaert; R. Xie; H. Shang; Emre Alptekin; D.I. Bae; Geum-Jong Bae; C. Boye; H. Cai; D. Chanemougame; R. Chao; Kangguo Cheng; Jin Cho; K. Choi; B. Hamieh; J. Hong; Terence B. Hook; L. Jang; J. E. Jung; R. Jung; Duck-Hyung Lee; B. Lherron; R. Kambhampati; Bum-Suk Kim; H. Kim; Kyu-Sik Kim; T. S. Kim

A 10nm logic platform technology is presented for low power and high performance application with the tightest contacted poly pitch (CPP) of 64nm and metallization pitch of 48nm ever reported in the FinFET technology on both bulk and SOI substrate. A 0.053um2 SRAM bit-cell is reported with a corresponding Static Noise Margin (SNM) of 140mV at 0.75V. Intensive multi-patterning technology and various self-aligned processes have been developed with 193i lithography to overcome optical patterning limit. Multi-workfunction (WF) gate stack has been enabled to provide Vt tunability without the variability degradation induced by channel dopants.


international electron devices meeting | 2000

Controlling floating-body effects for 0.13 /spl mu/m and 0.10 /spl mu/m SOI CMOS

S.K.H. Fung; Noah Zamdmer; Philip J. Oldiges; Jeffrey W. Sleight; A. Mocuta; M. Sherony; S.-H. Lo; Rajiv V. Joshi; C.T. Chuang; I. Yang; S. Crowder; T.C. Chen; Fariborz Assaderaghi; Ghavam G. Shahidi

The ultra-thin gate oxide required for the 0.13 /spl mu/m generation and beyond introduces a significant amount of gate-to-body tunneling current. The gate current modulates the body voltage and therefore the history effect. This paper discusses several methods to minimize the impact of gate current, which can cause excessive history effect in 0.10 /spl mu/m SOI CMOS. Our result demonstrates that the combination of high gate leakage and small junction capacitance can enhance circuit performance due to beneficial gate coupling. Ultra-low junction capacitance can be achieved by aggressive SOI thickness scaling, though, the proximity of source/drain extension and channel depletion to the buried oxide complicates device design and modeling.


symposium on vlsi technology | 2012

Channel doping impact on FinFETs for 22nm and beyond

Chung-Hsun Lin; R. Kambhampati; Roderick Miller; Terence B. Hook; Andres Bryant; Wilfried Haensch; Philip J. Oldiges; Isaac Lauer; Tenko Yamashita; Veeraraghavan S. Basker; Theodorus E. Standaert; K. Rim; Effendi Leobandung; Huiming Bu; M. Khare

The natural choice to achieve multiple threshold voltages (Vth) in fully-depleted devices is by choosing the appropriate gate workfunction for each device. However, this comes at the cost of significantly higher process complexity. The absence of a body contact in FinFETs and insensitivity to back-gate bias leaves the conventional channel doping approach as the most practical technique to achieve multiple Vth. This choice, however, introduces a variable that is usually not considered in the context of fully depleted devices. For the first time, we demonstrate a multiple Vth solution at relevant device geometries and gate pitch for the 22nm node. We investigated the impact of FinFET channel doping on relevant device parameters such as Tinv, mobility, electrostatic control and Vth mismatch. We also show that Vth extraction by the “constant current” method could mislead the DIBL analysis of devices with greatly different channel mobility.


IEEE Transactions on Electron Devices | 2010

Crystallographic-Orientation-Dependent Gate-Induced Drain Leakage in Nanoscale MOSFETs

Rajan K. Pandey; Kota V. R. M. Murali; Stephen S. Furkay; Philip J. Oldiges; Edward J. Nowak

The efficient and successful realization of low-power semiconductor devices demands, among other things, the ability to quantitatively model and minimize myriad leakage phenomena. We report herein a general physical model to quantitatively compute crystallographic-orientation-dependent gate-induced drain leakage (GIDL), and its numerical implementation in a continuum-based device simulator. This simulation model has been successfully compared with relevant experimental data derived from heavily doped vertical diodes and 45-nm silicon-based CMOS devices. Also, the process optimization of next-generation 32-nm low-power devices has been discussed in the context of GIDL.


symposium on vlsi technology | 2002

Fully-depleted-collector polysilicon-emitter SiGe-base vertical bipolar transistor on SOI

Jin Cai; A. Ajmera; C. Ouyang; Philip J. Oldiges; M. Steigerwalt; K. Stein; Keith A. Jenkins; Ghavam G. Shahidi; Tak H. Ning

A novel vertical bipolar transistor on SOI is proposed and demonstrated. The transistor operates on the principle that the collector region is fully depleted so that the charge carriers travel laterally towards the collector reachthrough and contact after traversing the intrinsic base layer. The SOI silicon layer thickness is comparable to that used in SOI CMOS, and no subcollector layer or deep trench isolation are required. Simulated device characteristics are shown. The transistor is demonstrated in a polysilicon-emitter SiGe-base npn implementation on SOI with a 140-nm silicon layer. The fabricated npn bipolar transistors exhibit a BVceo of 4.2 V and a peak f/sub T/ of over 60 GHz.


IEEE Transactions on Electron Devices | 2014

CMOS Logic Device and Circuit Performance of Si Gate All Around Nanowire MOSFET

Kaushik Nayak; Mohit Bajaj; Aniruddha Konar; Philip J. Oldiges; Kenji Natori; Hiroshi Iwai; Kota V. R. M. Murali; Valipe Ramgopal Rao

In this paper, a detailed 3-D numerical analysis is carried out to study and evaluate CMOS logic device and circuit performance of gate-all-around (GAA) Si nanowire (NW) field-effect transistors (FETs) operating in sub-22-nm CMOS technologies. Employing a coupled drift-diffusion room temperature carrier transport formulation, with 2-D quantum confinement effects, we numerically simulate Si GAA NWFET electrical characteristics. The simulation predictions, on the device performance, short channel effects, and their dependence on NW geometry scaling, are in good agreement with the Si NWFET experimental data reported in literature. Superior electrostatic integrity, OFF-state device performance, lower circuit delays, and faster switching in the Si GAA NWFET-based CMOS circuits are numerically demonstrated in comparison with an Si-on-insulator FinFET. The mixed-mode numerical simulations also predict low supply voltage operations for the Si NWFET-based logic circuits.


symposium on vlsi technology | 2006

Poly-Si/AlN/HfSiO Stack for Ideal Threshold Voltage and Mobility in Sub-100 nm MOSFETs

K.-L. Lee; Martin M. Frank; Vamsi Paruchuri; E. Cartier; Barry P. Linder; Nestor A. Bojarczuk; X. Wang; J. Rubino; M. Steen; P. Kozlowski; J. Newbury; E. Sikorski; P. Flaitz; Michael A. Gribelyuk; P. Jamison; G. Singco; Vijay Narayanan; Sufi Zafar; Supratik Guha; Philip J. Oldiges; Rajarao Jammy; Meikei Ieong

A scalable poly-Si/AlN/HfSiO gate stack, implementing a new aluminum nitride (AlN) cap layer, combined with oxygen diffusion barrier, halo and counter doping engineering, high temperature spike anneal for gate and junction activation, and optional inverted gate implant, has been successfully developed to fully offset the large threshold voltage (Vt) shifts in poly-Si/HfSiO devices and achieve good thickness scalability and gate stack stability. The new AlN cap layers provide better PFET Vt control than, for example, Al2O3 layers, and can be removed from NFETs without impacting device properties. We thus have achieved sub-100 nm device Vt of 0.3-0.4 V with PFETs Ion ~ 140 muA/mum at Ioff ~13 pA/mum, suitable for low-power technologies. Carrier mobilities are close to those of SiON control devices. Thus the Vt problem impeding the implementation of poly-Si/high-k gate stacks for low power device applications has been resolved


international electron devices meeting | 2016

A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels

R. Xie; Pietro Montanini; Kerem Akarvardar; Neeraj Tripathi; Balasubramanian S. Haran; S. Johnson; Terence B. Hook; B. Hamieh; D. Corliss; Junli Wang; X. Miao; J. Sporre; Jody A. Fronheiser; Nicolas Loubet; M. Sung; S. Sieg; Shogo Mochizuki; Christopher Prindle; Soon-Cheon Seo; Andrew M. Greene; Jeffrey Shearer; A. Labonte; S. Fan; L. Liebmann; Robin Chao; A. Arceo; Kisup Chung; K. Y. Cheon; Praneet Adusumilli; H.P. Amanapu

We present a 7nm technology with the tightest contacted poly pitch (CPP) of 44/48nm and metallization pitch of 36nm ever reported in FinFET technology. To overcome optical lithography limits, Extreme Ultraviolet Lithography (EUV) has been introduced for multiple critical levels for the first time. Dual strained channels have been also implemented to enhance mobility for high performance applications.


international electron devices meeting | 2009

Technologies to further reduce soft error susceptibility in SOI

Philip J. Oldiges; Robert H. Dennard; David F. Heidel; Tak H. Ning; Kenneth P. Rodbell; Henry H. K. Tang; Michael S. Gordon; L. Wissel

Methods for soft error rate reduction in silicon on insulator devices and circuits are explored and evaluated via simulations that have been validated against hardware measurements. Our methodology is first introduced, and the following techniques are examined in detail: 1) Body thinning, 2) carrier lifetime reduction, 3) body contacts, 4) stacked devices, and 5) parallel devices. Finally, the advantages and disadvantages of all methods are described.

Researchain Logo
Decentralizing Knowledge