Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Dimitra Niakoula is active.

Publication


Featured researches published by Dimitra Niakoula.


Journal of Vacuum Science & Technology B | 2008

System-level line-edge roughness limits in extreme ultraviolet lithography

Patrick P. Naulleau; Dimitra Niakoula; Guojing Zhang

As critical dimensions shrink, line-edge roughness (LER) and linewidth roughness become of increasing concern. Traditionally, LER is viewed as a resist-limited effect; however, as critical dimensions shrink and LER requirements become proportionally more stringent, system-level effects begin to play an important role. Recent advanced extreme-ultraviolet resist testing results have demonstrated lower bounds on achievable LER at the level of approximately 2–3nm. Here, the authors use modeling to demonstrate that a significant portion of this low bound may, in fact, be do to system-level effects and, in particular, the mask. Of concern are both LER on the mask as well as roughness of the multilayer reflector. Modeling also shows roughness (flare) in the projection optics not to be of concern.


Proceedings of SPIE | 2008

Film quantum yields of EUV& ultra-high PAG photoresists

Elsayed Hassanein; Craig Higgins; Patrick Naulleau; Richard Matyi; Gregg M. Gallatin; Gregory Denbeaux; Alin Antohe; Jim Thackeray; Kathleen Spear; Charles R. Szmanda; Christopher N. Anderson; Dimitra Niakoula; Matthew Malloy; Anwar Khurshid; Cecilia Montgomery; Emil Piscani; Andrew C. Rudack; Jeff D. Byers; Andy Ma; Kim Dean; Robert Brainard

Base titration methods are used to determine C-parameters for three industrial EUV photoresist platforms (EUV- 2D, MET-2D, XP5496) and twenty academic EUV photoresist platforms. X-ray reflectometry is used to measure the density of these resists, and leads to the determination of absorbance and film quantum yields (FQY). Ultrahigh levels of PAG show divergent mechanisms for production of photoacids beyond PAG concentrations of 0.35 moles/liter. The FQY of sulfonium PAGs level off, whereas resists prepared with iodonium PAG show FQYs that increase beyond PAG concentrations of 0.35 moles/liter, reaching record highs of 8-13 acids generated/EUV photons absorbed.


Proceedings of SPIE | 2008

Advanced extreme ultraviolet resist testing using the SEMATECH Berkeley 0.3-NA microfield exposure tool

Patrick P. Naulleau; Christopher N. Anderson; Jerrin Chiu; Kim Dean; Paul Denham; Kenneth A. Goldberg; Brian Hoef; Sungmin Huh; Gideon Jones; Bruno M. LaFontaine; Andy Ma; Dimitra Niakoula; Joo-on Park; Tom Wallow

Microfield exposure tools (METs) continue to play a dominant role in the development of extreme ultraviolet (EUV) resists. Here we present an update on the SEMATECH Berkeley 0.3-NA MET and summarize the latest test results from high-resolution line-space and contact-hole printing. In practice, the resolution limit of contact-hole printing is generally dominated by contact size variation that is often speculated to originate form shot noise effects. Such observations of photon-noise limited performance are concerning because they suggest that future increased resist sensitivity would not be feasible. Recent printing data, however, indicates that the contact size variation problem is currently not a result of shot noise but rather attributable to the mask in combination with the resist-dominated mask error enhancement factor (MEEF). Also discussed is the importance of the contribution of the system-level line-edge roughness (LER) to resist LER values currently obtained with the SEMATECH Berkeley MET. We present the expected magnitude of such effects and compare the results to observed trends in LER performance from EUV resists over the past few years.


International Journal of Nanotechnology | 2009

Materials for lithography in the nanoscale

P. Argitis; Dimitra Niakoula; Antonios M. Douvas; Evangelos Gogolides; I. Raptis; Veroniki P. Vidali; Elias A. Couladouros

Design and development of photoresists aiming at patterning in the nanoscale is reported. Functionalised polycarbocycle-based molecules and Polyhedral Oligomeric Silsesquioxane (POSS) containing (meth)acrylate copolymers are the basic components of the resist materials proposed for 193 nm and EUV lithography. The synthesis of new functionalised polycarbocycles aimed first at the development of etch resistance additives for 193 nm (meth) acrylate resists, since these compounds are characterised by moderate absorbance at 193 nm and by increased etch resistance due to the polyaromatic and cycloaliphatic rings they contain. Recently, additional functionalisation with appropriate imaging and hydrophilic groups advanced compounds of this class to become suitable main components of molecular resist compositions. On the other hand the incorporation of POSS groups in (meth)acrylate copolymers was studied first towards the development of 157 nm double layer resists, and recently, after the renewal of the semiconductor industry interest for 193 nm technology for double layer 193 nm resists. Characterisation methodologies for sub 100 nm thick resist films were also developed based on interferometry and used for the optimisation of the resist materials developed.


Optics Express | 2008

Absolute sensitivity calibration of extreme ultraviolet photoresists

Patrick P. Naulleau; Eric M. Gullikson; Andy Aquila; Simi George; Dimitra Niakoula

One of the major challenges facing the commercialization of extreme ultraviolet (EUV) lithography remains simultaneously achieving resist sensitivity, line-edge roughness, and resolution requirement. Sensitivity is of particular concern owing to its direct impact on source power requirements. Most current EUV exposure tools have been calibrated against a resist standard with the actual calibration of the standard resist dating back to EUV exposures at Sandia National Laboratories in the mid 1990s. Here we report on an independent sensitivity calibration of two baseline resists from the SEMATECH Berkeley MET tool performed at the Advanced Light Source Calibrations and Standards beamline. The results show the baseline resists to be approximately 1.9 times faster than previously thought based on calibration against the long standing resist standard.


Journal of Vacuum Science & Technology B | 2008

Influence of base and photoacid generator on deprotection blur in extreme ultraviolet photoresists and some thoughts on shot noise

Christopher N. Anderson; Patrick P. Naulleau; Dimitra Niakoula; Elsayed Hassanein; Robert L. Brainard; Gregg M. Gallatin; Kim Dean

A contact-hole deprotection blur metric has been used to monitor the deprotection blur of an experimental open platform resist (EH27) as the wt % of base and photoacid generator (PAG) were varied. A six times increase in base wt % is shown to reduce the size of successfully patterned 1:1 line-space features from 52to39nm without changing deprotection blur. Corresponding isolated line edge roughness is reduced from 6.9to4.1nm. A two times increase in PAG wt % is shown to improve 1:1 line-space patterning from 47to40nm without changing deprotection blur or isolated line edge roughness. A discussion of improved patterning performance as related to shot noise and deprotection blur concludes with a speculation that the spatial distribution of PAG molecules has been playing some role, perhaps a dominant one, in determining the uniformity of photogenerated acids in the resists that have been studied.A contact-hole deprotection blur metric has been used to monitor the deprotection blur of an experimental open platform resist (EH27) as the wt % of base and photoacid generator (PAG) were varied. A six times increase in base wt % is shown to reduce the size of successfully patterned 1:1 line-space features from 52to39nm without changing deprotection blur. Corresponding isolated line edge roughness is reduced from 6.9to4.1nm. A two times increase in PAG wt % is shown to improve 1:1 line-space patterning from 47to40nm without changing deprotection blur or isolated line edge roughness. A discussion of improved patterning performance as related to shot noise and deprotection blur concludes with a speculation that the spatial distribution of PAG molecules has been playing some role, perhaps a dominant one, in determining the uniformity of photogenerated acids in the resists that have been studied.


Proceedings of SPIE | 2007

Exposure of molecular glass resist by e-beam and EUVIL

Cyril Vannuffel; Damien Djian; Serge Tedesco; Dimitra Niakoula; Panagiotis Argitis; Veroniki P. Vidali; Elias A. Couladouros; Harun H. Solak

Molecular resist have potential interest for low CDs and LERs required in future lithography technology. The lithographic ability of one of them is exposed in this study, by e-beam and by EUV-IL. Work on process condition is described and leads to dense-lines resolution down to 32.5nm for.


Microelectronic Engineering | 2003

Resist process issues related to the glass transition changes in chemically amplified resist films

I. Raptis; Dimitra Niakoula; E. Tegou; V. Bellas; Evangelos Gogolides; Panagiotis Argitis; K.G. Papadokostaki; A. Ioannidis

Optical interferometry is applied for in situ measurement of the glass transition temperature in thin resist films (Tgfilm) spin-coated on flat reflective substrates, using a novel, low-cost, rapid methodology. Process issues, such as film thickness and thermal processing effect on Tgfilm were explored using this methodology. In the case of relatively thick films the calculated Tgfilm from the optical interferometry method is in good agreement with the corresponding differential scanning calorimetry (DSC) values. The film thickness effect on Tgfilm in the case of two positive chemically amplified resists (one commercial for DUV and one experimental for 157 nm lithography) is studied and discussed. In both cases, as film thickness decreases the Tgfilm increases indicating strong surface phenomena that should be taken into account in lithographic processing. The presented methodology enabled studies on Tg changes during resist processing in characteristic positive and negative tone chemically amplified resist materials allowing deeper insight in resist optimization issues.


Japanese Journal of Applied Physics | 2004

Glass Transition Temperature Monitoring in Bilayer and Patterned Photoresist Films

Dimitra Niakoula; I. Raptis; Dimitrios Goustouridis; Panagiotis Argitis

Monitoring of glass transition temperature in complex lithographic structures using an interferometry based methodology, is demonstrated. The method is applied in the case of bilayer photoresist structures, where the Tgfilm values of both bottom and top polymeric layers are measured simultaneously. Furthermore, in the case of processed photoresist films the obtained signal provides the Tgfilm value of resist areas exposed at different doses, enabling in situ measurements for material and process optimization studies.


Journal of Vacuum Science & Technology B | 2007

Advanced resist testing using the SEMATECH Berkeley extreme ultraviolet microfield exposure tool

Patrick P. Naulleau; Christopher N. Anderson; Kim Dean; Paul Denham; Kenneth A. Goldberg; Brian Hoef; Dimitra Niakoula; Bruno La Fontaine; Tom Wallow

Recent upgrades made to the SEMATECH Berkeley microfield exposure tool are summarized and some of the latest resist characterization results are presented. Tool illumination uniformity covering the full 200×600μm2 wafer-side field of view is demonstrated and intrawafer focus control of 1.8nm is shown. Printing results demonstrate chemically amplified resist resolution of 28nm dense and 22.7nm semi-isolated. Moreover, contact printing results show that shot noise is not a dominant issue in current 35nm contact printing performance.

Collaboration


Dive into the Dimitra Niakoula's collaboration.

Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

I. Raptis

Technological Educational Institute of Athens

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Brian Hoef

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Gregg M. Gallatin

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Paul Denham

Lawrence Berkeley National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge