Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Paul Denham is active.

Publication


Featured researches published by Paul Denham.


Emerging Lithographic Technologies VIII | 2004

Status of EUV micro-exposure capabilities at the ALS using the 0.3-NA MET optic

Patrick P. Naulleau; Kenneth A. Goldberg; Erik H. Anderson; Kevin Bradley; Rene Delano; Paul Denham; Bob Gunion; Bruce Harteneck; Brian Hoef; Hanjing Huang; Keith Jackson; Gideon Jones; Drew Kemp; J.A. Liddle; Ron Oort; Al Rawlins; Senajith Rekawa; Farhad Salmassi; Ron Tackaberry; Carl Chung; Layton C. Hale; Don Phillion; Gary E. Sommargren; John S. Taylor

The success of recent static printing experiments at Lawrence Berkeley National Laboratory’s Advanced Light Source (ALS) using the EUV LLC Engineering Test Stand (ETS) Set-2 optic has demonstrated the utility of synchrotron-based EUV exposure stations. Although not viable light sources for commercial lithography, synchrotrons provide clean, convenient, and extremely flexible sources for developmental microfield lithography. The great flexibility of synchrotron-based illumination arises from the fact that such sources facilitate active coherence reduction, thus enabling the coherence function, or pupil fill, to be actively sculpted in real time. As the commercialization of EUV progresses, the focus of developmental EUV lithography is shifting from low numerical aperture (NA) tools such as the 0.1-NA ETS to higher-NA tools such as the 0.3-NA Micro Exposure Tool (MET). To support printing with MET optics at the ALS, a new printing station has been developed, relying on a scanning illuminator to provide programmable coherence (pupil-fill) control. The illuminator is designed to operate up to a coherence factor (s) of 1 and support the full 200′600 design printed field of view. In addition to a new illuminator design, new focus sensing and dose-control systems have also been implemented. Here we describe the MET printing capabilities in detail and present preliminary printing results with the Sematech Set-2 MET optic.


Journal of Vacuum Science & Technology B | 1997

At-wavelength interferometry for extreme ultraviolet lithography

Edita Tejnil; Kenneth A. Goldberg; Sang Hun Lee; Hector Medecki; Phillip J. Batson; Paul Denham; Alastair A. MacDowell; Jeffrey Bokor; David T. Attwood

A phase-shifting point diffraction interferometer is being developed for at-wavelength testing of extreme ultraviolet lithographic optical systems. The interferometer was implemented to characterize the aberrations of a 10× Schwarzschild multilayer-coated reflective optical system at the operational wavelength of 13.4 nm. Chromatic vignetting effects are observed and they demonstrate the influence of multilayer coatings on the wave front. A subaperture of the optic with a numerical aperture of 0.07 was measured as having a wave front error of 0.090 wave (1.21 nm) root mean square (rms) at a 13.4 nm wavelength. The wave front measurements indicate measurement repeatability of ±0.008 wave (±0.11 nm) rms. Image calculations that include the effects of the measured aberrations are consistent with imaging performed with the 10× Schwarzschild optic on an extreme ultraviolet exposure tool.


Proceedings of SPIE | 2011

Critical challenges for EUV resist materials

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Gideon Jones; Brittany M. McClinton; Ryan Miyakawa; Seno Rekawa; Nathan S. Smith

Although Extreme ultraviolet lithography (EUVL) is now well into the commercialization phase, critical challenges remain in the development of EUV resist materials. The major issue for the 22-nm half-pitch node remains simultaneously meeting resolution, line-edge roughness (LER), and sensitivity requirements. Although several materials have met the resolution requirements, LER and sensitivity remain a challenge. As we move beyond the 22-nm node, however, even resolution remains a significant challenge. Chemically amplified resists have yet to demonstrate the required resolution at any speed or LER for 16-nm half pitch and below. Going to non-chemically amplified resists, however, 16-nm resolution has been achieved with a LER of 2 nm but a sensitivity of only 70 mJ/cm2.


Applied Optics | 2003

Fourier-synthesis custom-coherence illuminator for extreme ultraviolet microfield lithography

Patrick P. Naulleau; Kenneth A. Goldberg; Phil Batson; Jeffrey Bokor; Paul Denham; Senajith Rekawa

Scanning illumination systems provide for a powerful and flexible means for controlling illumination coherence properties. Here we present a scanning Fourier synthesis illuminator that enables microfield extreme ultraviolet lithography to be performed on an intrinsically coherent synchrotron undulator beamline. The effectiveness of the system is demonstrated through a variety of print experiments, including the use of resolution enhancing coherence functions that enable the printing of 50-nm line-space features by use of a lithographic optic with a numerical aperture of 0.1 and an operational wavelength of 13.4 nm.


Journal of Vacuum Science & Technology B | 2004

At-wavelength alignment and testing of the 0.3 NA MET optic

Kenneth A. Goldberg; Patrick P. Naulleau; Paul Denham; Senajith Rekawa; Keith Jackson; Erik H. Anderson; J. Alexander Liddle

Extreme ultraviolet (EUV) interferometry has been successfully performed for the first time at 0.3 numerical aperture (NA). Extensive EUV “at-wavelength” testing including alignment, was performed on a newly created Micro Exposure Tool (MET) optic designed for sub-50-nm EUV lithographic imaging experiments. The two-mirror, 0.3 NA MET is among the highest resolution light-projection lithography tools ever made. Using both lateral shearing and phase-shifting point-diffraction interferometry, the wavefront was measured across the field of view, and the alignment was optimized in preparation for imaging. The wavefront quality reached 0.55nm RMS (λEUV∕24.5) in a 37-term annular Zernike polynomial series, dominated by higher-order spherical aberration. Measurements included calibrations of the interferometer accuracy, assessment of repeatability, and cross-comparisons of visible and EUV interferometric measurements.


Journal of Vacuum Science & Technology B | 2002

Sub-70 nm extreme ultraviolet lithography at the Advanced Light Source static microfield exposure station using the engineering test stand set-2 optic

Patrick P. Naulleau; Kenneth A. Goldberg; Erik H. Anderson; David T. Attwood; Phillip J. Batson; Jeffrey Bokor; Paul Denham; Eric M. Gullikson; Bruce Harteneck; Brian Hoef; Keith Jackson; Deirdre L. Olynick; Seno Rekawa; Farhad Salmassi; Ken Blaedel; Henry N. Chapman; Layton C. Hale; Paul B. Mirkarimi; Regina Soufli; Don Sweeney; John S. Taylor; Christopher C. Walton; Donna O’Connell; Daniel A. Tichenor; Charles W. Gwyn; Pei-Yang Yan; Guojing Zhang

Static microfield printing capabilities have recently been integrated into the extreme ultraviolet interferometer operating at the Advanced Light Source synchrotron radiation facility at Lawrence Berkeley National Laboratory. The static printing capabilities include a fully programmable scanning illumination system enabling the synthesis of arbitrary illumination coherence (pupil fill). This new exposure station has been used to lithographically characterize the static imaging performance of the Engineering Test Stand Set-2 optic. Excellent performance has been demonstrated down to the 70 nm equal line/space level with focus latitude exceeding 1 μm and dose latitude of approximately 10%. Moreover, equal line/space printing down to a resolution of 50 nm has been demonstrated using resolution-enhancing pupil fills.


Journal of Vacuum Science & Technology B | 2004

Extreme ultraviolet microexposures at the Advanced Light Source using the 0.3 numerical aperture micro-exposure tool optic

Patrick P. Naulleau; Kenneth A. Goldberg; Erik H. Anderson; Jason P. Cain; Paul Denham; Keith Jackson; Anne-Sophie Morlens; Seno Rekawa; Farhad Salmassi

In an effort to continue the rapid pace of extreme ultraviolet (EUV) learning, the focus of developmental EUV lithography has shifted from low numerical aperture (NA) tools such as the 0.1NA engineering test stand to higher NA tools such as the 0.3NA micro-exposure tool (MET). To support this generation of lithographic optics, a static printing station has been developed at the Advanced Light Source. This synchrotron-based printing system relies on a scanning illuminator to provide real-time coherence (pupil-fill) control. Here, we describe a MET printing station and present early printing results obtained with the Sematech Set-2 MET optic. The resolution limit of baseline EUV resist is presented as well as 30nm equal-line-space printing in an experimental resist.


Journal of Vacuum Science & Technology B | 2000

Extreme ultraviolet alignment and testing of a four-mirror ring field extreme ultraviolet optical system

Kenneth A. Goldberg; Patrick P. Naulleau; Phillip J. Batson; Paul Denham; Erik H. Anderson; Henry N. Chapman; Jeffrey Bokor

Extreme ultraviolet (EUV) interferometry has been used to characterize and align a recently fabricated, 4× reduction, four-mirror, aspheric optical system designed for EUV lithography. This system is called the Engineering Test Stand Set-1 Optic. An EUV phase-shifting point diffraction interferometer constructed on an undulator beamline at the Advanced Light Source was used to perform high-accuracy wavefront measurements during several alignment iterations. For each iteration, the alignment algorithm used 35 wavefront measurements recorded across the 26-mm-wide image-side ring field. Adjustments were made to systematically reduce the root mean square wavefront error magnitude to approximately 1 nm, bringing the system to nearly diffraction-limited performance.


Proceedings of SPIE | 2010

The SEMATECH Berkeley MET pushing EUV development beyond 22-nm half pitch

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; David Chan; Paul Denham; Simi George; Kenneth A. Goldberg; Brian Hoef; Gideon Jones; Chawon Koh; Bruno La Fontaine; Brittany M. McClinton; Ryan Miyakawa; Warren Montgomery; Seno Rekawa; Tom Wallow

Microfield exposure tools (METs) play a crucial role in the development of extreme ultraviolet (EUV) resists and masks. One of these tools is the SEMATECH Berkeley 0.3 numerical aperture (NA) MET. Using conventional illumination this tool is limited to approximately 22-nm half pitch resolution. However, resolution enhancement techniques have been used to push the patterning capabilities of this tool to half pitches of 18 nm and below. This resolution was achieved in a new imageable hardmask which also supports contact printing down to 22 nm with conventional illumination. Along with resolution, line-edge roughness is another crucial hurdle facing EUV resists. Much of the resist LER, however, can be attributed to the mask. We have shown that intenssionally aggressive mask cleaning on an older generation mask causes correlated LER in photoresist to increase from 3.4 nm to 4.0 nm. We have also shown that new generation EUV masks (100 pm of substrate roughness) can achieve correlated LER values of 1.1 nm, a 3× improvement over the correlated LER of older generation EUV masks (230 pm of substrate roughness). Finally, a 0.5-NA MET has been proposed that will address the needs of EUV development at the 16-nm node and beyond. The tool will support an ultimate resolution of 8 nm half-pitch and generalized printing using conventional illumination down to 12 nm half pitch.


Journal of Vacuum Science & Technology B | 1998

At-wavelength detection of extreme ultraviolet lithography mask blank defects

Seongtae Jeong; Mourad Idir; Yun Lin; Lewis Johnson; Seno Rekawa; Michael S. Jones; Paul Denham; Phil Batson; Rick Levesque; Patrick A. Kearney; Pei-Yang Yan; Eric M. Gullikson; James H. Underwood; Jeffrey Bokor

We report the design and operation of an at-wavelength system for extreme ultraviolet lithography mask blank defect detection. Initial results demonstrate sensitivity to submicron size phase defects. The performance of the system is compared with the practical requirements for a mask blank inspection system in terms of the sensitivity and scanning time.

Collaboration


Dive into the Paul Denham's collaboration.

Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Brian Hoef

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Senajith Rekawa

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Erik H. Anderson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Keith Jackson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Eric M. Gullikson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Jeffrey Bokor

University of California

View shared research outputs
Researchain Logo
Decentralizing Knowledge