Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Douglas J. Resnick is active.

Publication


Featured researches published by Douglas J. Resnick.


Journal of Vacuum Science & Technology B | 2003

Imprint lithography for integrated circuit fabrication

Douglas J. Resnick; William J. Dauksher; David P. Mancini; Kevin J. Nordquist; Todd C. Bailey; Stephen C. Johnson; Nicholas A. Stacey; John G. Ekerdt; C. G. Willson; S. V. Sreenivasan; N. Schumaker

The escalating cost for next generation lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed


Materials Today | 2005

Step & flash imprint lithography

Douglas J. Resnick; S. V. Sreenivasan; C. Grant Willson

50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990’s, several research groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Colburn et al. [Proc. SPIE 379 (1999)] discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as step and flash imprint lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of the wafer and template. ...


Microelectronic Engineering | 2002

Template fabrication schemes for step and flash imprint lithography

Todd C. Bailey; Douglas J. Resnick; David P. Mancini; Kevin J. Nordquist; William J. Dauksher; Eric S. Ainley; A. Talin; Kathy A. Gehoski; Jeffrey H. Baker; Byung Jin Choi; Stephen C. Johnson; Matthew E. Colburn; Mario J. Meissl; S. V. Sreenivasan; John G. Ekerdt; C. G. Willson

The escalating cost of next generation lithography (NGL) is driven in part by the need for complex sources and optics. The cost for a single NGL tool could soon exceed


Journal of Vacuum Science & Technology B | 2006

Implementation of an imprint damascene process for interconnect fabrication

Gerard M. Schmid; Michael D. Stewart; Jeffrey Wetzel; Frank Palmieri; Jianjun Hao; Yukio Nishimura; Kane Jen; Eui Kyoon Kim; Douglas J. Resnick; J. Alexander Liddle; C. Grant Willson

50 million, a prohibitive amount for many companies. As a result, several research groups are looking at alternative, low-cost methods for printing sub-100 nm features. Many of these methods are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan developed step and flash imprint lithography (S-FIL™). The use of a quartz template opens up the potential for optical alignment of the wafer and template. This paper reviews several key aspects of the S-FIL process, including template, tool, ultraviolet (UV)-curable monomer, and pattern transfer. Two applications are also presented: contact holes and surface acoustic wave (SAW) filters.


SPIE's 27th Annual International Symposium on Microlithography | 2002

High-resolution templates for step and flash imprint lithography

Douglas J. Resnick; William J. Dauksher; David P. Mancini; Kevin J. Nordquist; Eric S. Ainley; Kathleen A. Gehoski; Jeffrey H. Baker; Todd C. Bailey; Byung Jin Choi; Stephen C. Johnson; S. V. Sreenivasan; John G. Ekerdt; C. Grant Willson

Abstract Step and flash imprint lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes, SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. The purpose of this work is to investigate alternative processes for defining features on an SFIL template. The first method considered using a much thinner (


Journal of Vacuum Science & Technology B | 2002

Hydrogen silsesquioxane for direct electron-beam patterning of step and flash imprint lithography templates

David P. Mancini; Kathy A. Gehoski; Eric S. Ainley; Kevin J. Nordquist; Douglas J. Resnick; Todd C. Bailey; S. V. Sreenivasan; John G. Ekerdt; C. G. Willson

Advanced integrated circuits require eight or more levels of wiring to transmit electrical signal and power among devices and to external circuitry. Each wiring level connects to the levels above and below it through via layers. The dual damascene approach to fabricating these interconnected structures creates a wiring level and a via level simultaneously, thereby reducing the total number of processing steps. However, the dual damascene strategy (of which there are several variations) still requires around 20 process steps per wiring layer. In this work, an approach to damascene processing that is based on step-and-flash imprint lithography (SFIL) is discussed. This imprint damascene process requires fewer than half as many steps as the standard photolithographic dual damascene approach. Through use of a template with two tiers of patterning, a single imprint lithography step can replace two photolithography steps. Further improvements in efficiency are possible if the imprint material is itself a functi...


Journal of Micro-nanolithography Mems and Moems | 2005

Nanofabrication with step and flash imprint lithography

Michael D. Stewart; Stephen C. Johnson; S. V. Sreenivasan; Douglas J. Resnick; C. Grant Willson

Step and Flash Imprint Lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, minimizing magnification and distortion errors. The purpose of this work was to investigate alternative methods for defining high resolution SFIL templates and study the limits of the SFIL process. Two methods for fabricating templates were considered. The first method used a very thin layer of Cr as a hard mask. The second fabrication scheme attempts to address some of the weaknesses associated with a solid glass substrate. Because there is no conductive layer on the final template, SEM and defect inspection are compromised. By incorporating a conductive and transparent layer of indium tin oxide (ITO) on the glass substrate, charging is suppressed during SEM inspection, and the transparent nature of the final template is not affected. Using ZEP-520 as the electron beam imaging resist, features as small as 20 nm were resolved on the templates. Features were also successfully imprinted using both types of templates.


Emerging Lithographic Technologies VII | 2003

Advances in Step and Flash imprint lithography

Stephen C. Johnson; Todd C. Bailey; Michael D. Dickey; Britain J. Smith; Eunha K. Kim; Andrew Thomas Jamieson; Nicholas A. Stacey; John G. Ekerdt; C. Grant Willson; David P. Mancini; William J. Dauksher; Kevin J. Nordquist; Douglas J. Resnick

The feasibility of using hydrogen silsesquioxane (HSQ) to directly pattern the relief layer of step and flash imprint lithography (SFIL) templates has been successfully demonstrated. HSQ is a spin-coatable oxide, which is capable of high resolution electron-beam lithography. Negative acting and nonchemically amplified, HSQ has moderate electron-beam sensitivity and excellent processing latitude. In this novel approach, 6 ×6 × 0.25 in.3 quartz photomask substrates are coated with a 60 nm indium tin oxide (ITO) charge dissipation layer and directly electron-beam written using a 100 nm film of HSQ. Direct patterning of an oxide relief layer eliminates the problems of critical dimension control associated with both chromium and oxide etches, both required processes of previous template fabrication schemes. Resolution of isolated and semidense lines of 30 nm has been demonstrated on imprinted wafers using this type of template. During this evaluation, a failure of the release layer to provide a durable nonstic...


Journal of Vacuum Science & Technology B | 2002

Characterization of and imprint results using indium tin oxide-based step and flash imprint lithography templates

William J. Dauksher; Kevin J. Nordquist; David P. Mancini; Douglas J. Resnick; J. H. Baker; A. E. Hooper; A. A. Talin; Todd C. Bailey; A. M. Lemonds; S. V. Sreenivasan; John G. Ekerdt; C. G. Willson

Step and flash imprint lithography (SFIL) has made tremendous progress since its initial development at The University of Texas at Austin in the late 1990s. The SFIL process went from laboratory to commercialization in under five years, and the number of technical hurdles that must be cleared before it is recognized as fully competitive with optical or EUV lithography for sub-50-nm patterning is dwindling. Patterning resolution has been demonstrated down to 20 nm, with the limit so far being only the template fabrication process. The SFIL method was developed from the beginning with the precision overlay/alignment requirements of multilevel device fabrication in mind. It was recognized that it would be inherently easier to achieve overlay and alignment accuracy with a constant temperature and low pressure imprinting process, and already tool designers have built on SFILs advantages to produce tools that are viable for multilayer device fabrication. Early tools have demonstrated better than 10-nm alignment resolution, and no insurmountable fundamental issues have been identified that would prevent alignment resolution from reaching the tight tolerances required for integrated circuit manufacturing. With any contact printing method, process-generated defects are a concern, but the SFIL process has proven to be surprisingly robust with an inherent self-cleaning mechanism for removing particle contamination. Furthermore, new template surface treatments have been developed that improve mold lifetime and minimize defect generation. SFIL shows promise as a low cost manufacturing tool for a wide variety of semiconductor, microelectromechanical, optoelectronic, microfluidic, and other devices. This work summarizes the state of development of step and flash imprint lithography and discusses its potential as a general nanofabrication tool.


Journal of Vacuum Science & Technology B | 2009

Step and flash imprint lithography for manufacturing patterned media

Gerard M. Schmid; Mike Miller; Cynthia B. Brooks; Niyaz Khusnatdinov; Dwayne L. LaBrake; Douglas J. Resnick; S. V. Sreenivasan; Gene Gauzner; Kim Y. Lee; David M.-T. Kuo; D. Weller; XiaoMin Yang

Recent work on Step and Flash Imprint Lithography (SFIL) has been focused on process and materials fundamentals and demonstration of resolution capability. Etch transfer rpocesses have been developed that are capable of transferring imprinted images though 150 nm of residual etch barrier, yielding sub 50 nm lines with aspect ratios greater than 8:1. A model has been developed for the photoinitiated, free radical curing of the acrylate etch barrier materials that have been used in the SFIL process. This model includes the effects of oxygen transport on the kinetics of the reaction and yields a deeper understanding of the importance of oxygen inhibition, and the resulting impact of that process on throughput and defect generation. This understanding has motivated investigation of etch barrier materials such as vinyl ethers that are cured by a cationic mechanism, which does not exhibit these same effects. Initial work on statistical defect analysis has is reported and it does not reveal pathological trends.

Collaboration


Dive into the Douglas J. Resnick's collaboration.

Top Co-Authors

Avatar

S. V. Sreenivasan

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Gerard M. Schmid

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Ecron Thompson

University of Texas System

View shared research outputs
Top Co-Authors

Avatar

Frank Y. Xu

University of Texas System

View shared research outputs
Researchain Logo
Decentralizing Knowledge