Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kevin J. Nordquist is active.

Publication


Featured researches published by Kevin J. Nordquist.


Journal of Vacuum Science & Technology B | 2003

Imprint lithography for integrated circuit fabrication

Douglas J. Resnick; William J. Dauksher; David P. Mancini; Kevin J. Nordquist; Todd C. Bailey; Stephen C. Johnson; Nicholas A. Stacey; John G. Ekerdt; C. G. Willson; S. V. Sreenivasan; N. Schumaker

The escalating cost for next generation lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed


Microelectronic Engineering | 2002

Template fabrication schemes for step and flash imprint lithography

Todd C. Bailey; Douglas J. Resnick; David P. Mancini; Kevin J. Nordquist; William J. Dauksher; Eric S. Ainley; A. Talin; Kathy A. Gehoski; Jeffrey H. Baker; Byung Jin Choi; Stephen C. Johnson; Matthew E. Colburn; Mario J. Meissl; S. V. Sreenivasan; John G. Ekerdt; C. G. Willson

50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990’s, several research groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Colburn et al. [Proc. SPIE 379 (1999)] discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as step and flash imprint lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of the wafer and template. ...


SPIE's 27th Annual International Symposium on Microlithography | 2002

High-resolution templates for step and flash imprint lithography

Douglas J. Resnick; William J. Dauksher; David P. Mancini; Kevin J. Nordquist; Eric S. Ainley; Kathleen A. Gehoski; Jeffrey H. Baker; Todd C. Bailey; Byung Jin Choi; Stephen C. Johnson; S. V. Sreenivasan; John G. Ekerdt; C. Grant Willson

Abstract Step and flash imprint lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes, SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. The purpose of this work is to investigate alternative processes for defining features on an SFIL template. The first method considered using a much thinner (


Journal of Vacuum Science & Technology B | 2002

Hydrogen silsesquioxane for direct electron-beam patterning of step and flash imprint lithography templates

David P. Mancini; Kathy A. Gehoski; Eric S. Ainley; Kevin J. Nordquist; Douglas J. Resnick; Todd C. Bailey; S. V. Sreenivasan; John G. Ekerdt; C. G. Willson

Step and Flash Imprint Lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, minimizing magnification and distortion errors. The purpose of this work was to investigate alternative methods for defining high resolution SFIL templates and study the limits of the SFIL process. Two methods for fabricating templates were considered. The first method used a very thin layer of Cr as a hard mask. The second fabrication scheme attempts to address some of the weaknesses associated with a solid glass substrate. Because there is no conductive layer on the final template, SEM and defect inspection are compromised. By incorporating a conductive and transparent layer of indium tin oxide (ITO) on the glass substrate, charging is suppressed during SEM inspection, and the transparent nature of the final template is not affected. Using ZEP-520 as the electron beam imaging resist, features as small as 20 nm were resolved on the templates. Features were also successfully imprinted using both types of templates.


Emerging Lithographic Technologies VII | 2003

Advances in Step and Flash imprint lithography

Stephen C. Johnson; Todd C. Bailey; Michael D. Dickey; Britain J. Smith; Eunha K. Kim; Andrew Thomas Jamieson; Nicholas A. Stacey; John G. Ekerdt; C. Grant Willson; David P. Mancini; William J. Dauksher; Kevin J. Nordquist; Douglas J. Resnick

The feasibility of using hydrogen silsesquioxane (HSQ) to directly pattern the relief layer of step and flash imprint lithography (SFIL) templates has been successfully demonstrated. HSQ is a spin-coatable oxide, which is capable of high resolution electron-beam lithography. Negative acting and nonchemically amplified, HSQ has moderate electron-beam sensitivity and excellent processing latitude. In this novel approach, 6 ×6 × 0.25 in.3 quartz photomask substrates are coated with a 60 nm indium tin oxide (ITO) charge dissipation layer and directly electron-beam written using a 100 nm film of HSQ. Direct patterning of an oxide relief layer eliminates the problems of critical dimension control associated with both chromium and oxide etches, both required processes of previous template fabrication schemes. Resolution of isolated and semidense lines of 30 nm has been demonstrated on imprinted wafers using this type of template. During this evaluation, a failure of the release layer to provide a durable nonstic...


Journal of Vacuum Science & Technology B | 2002

Characterization of and imprint results using indium tin oxide-based step and flash imprint lithography templates

William J. Dauksher; Kevin J. Nordquist; David P. Mancini; Douglas J. Resnick; J. H. Baker; A. E. Hooper; A. A. Talin; Todd C. Bailey; A. M. Lemonds; S. V. Sreenivasan; John G. Ekerdt; C. G. Willson

Recent work on Step and Flash Imprint Lithography (SFIL) has been focused on process and materials fundamentals and demonstration of resolution capability. Etch transfer rpocesses have been developed that are capable of transferring imprinted images though 150 nm of residual etch barrier, yielding sub 50 nm lines with aspect ratios greater than 8:1. A model has been developed for the photoinitiated, free radical curing of the acrylate etch barrier materials that have been used in the SFIL process. This model includes the effects of oxygen transport on the kinetics of the reaction and yields a deeper understanding of the importance of oxygen inhibition, and the resulting impact of that process on throughput and defect generation. This understanding has motivated investigation of etch barrier materials such as vinyl ethers that are cured by a cationic mechanism, which does not exhibit these same effects. Initial work on statistical defect analysis has is reported and it does not reveal pathological trends.


Journal of Vacuum Science & Technology B | 2004

Fabrication of a surface acoustic wave-based correlator using step-and-flash imprint lithography

Gregory Frank Cardinale; J. L. Skinner; A. Alec Talin; R. W. Brocato; D. W. Palmer; David P. Mancini; William J. Dauksher; Kathy A. Gehoski; Ngoc V. Le; Kevin J. Nordquist; Douglas J. Resnick

As compared to quartz relief structures currently employed, indium tin oxide-based (ITO-based) step and flash imprint lithography templates offer advantages in terms of electron-beam writing, scanning electron microscope inspection, and pattern transfer. The material properties of the ITO have been completely characterized, and data is presented for resistivity, crystal structure, transmission, stress, surface roughness, adhesion, composition, and etch characteristics. For a 600 A annealed ITO film, the resistivity is approximately 3.5×102 Ω/sq and the optical transmission 77% at 365 nm. The atomic film composition was found to be 31.5% In, 4.3% Sn, and 64.2% O by x-ray photoelectron spectroscopy. When contrasted with SiO2 films, temperature programmed desorption data suggests that hydroxyl groups on the ITO surface bind H2O less strongly but are more abundant. Ultimate verification of compatibility was obtained by imprinting features into an etch barrier layer using an ITO-based template. The fidelity of...


Microelectronic Engineering | 2003

Improved step and flash imprint lithography templates for nanofabrication

Douglas J. Resnick; David P. Mancini; William J. Dauksher; Kevin J. Nordquist; Todd C. Bailey; Stephen C. Johnson; S. V. Sreenivasan; John G. Ekerdt; C. G. Willson

We report the surface acoustic wave (SAW) correlator devices fabricated using nanoimprint lithography. Using step-and-flash imprint lithography (S-FIL), we produced SAW correlator devices on 100mm diameter z-cut LiNbO3 devices and an aluminum metal etch process. On the same chip layout, we fabricated SAW filters and compared both the filters and correlators to similar devices fabricated using electron-beam lithography (EBL). Both S-FIL- and EBL-patterned correlators and SAW filters were analyzed using a bit-error rate tester to generate the signal and a parametric signal analyzer to evaluate the output. The NIL filters had an average center frequency of 2.38GHz with a standard deviation of 10MHz. The measured insertion loss averaged −31dB. In comparison, SAW filters fabricated using EBL exhibited a center frequency of 2.39GHz and a standard deviation of 100kHz. Based on our preliminary results, we believe that S-FIL is an efficient and entirely viable fabrication method to produce quality SAW filters and ...


Emerging Lithographic Technologies VIII | 2004

Mesoscale modeling for SFIL simulating polymerization kinetics and densification

Ryan L. Burns; Stephen C. Johnson; Gerard M. Schmid; Eui K. Kim; Michael D. Dickey; Jason E. Meiring; Sean D. Burns; Nicholas A. Stacey; C. Grant Willson; Diana Convey; Yi Wei; Peter Fejes; Kathleen A. Gehoski; David P. Mancini; Kevin J. Nordquist; William J. Dauksher; Douglas J. Resnick

Step and flash imprint lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes, SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, which minimizes magnification and distortion errors. Several different methods for fabricating templates are presented in this study. One scheme addresses some of the weaknesses associated with a solid glass substrate by incorporating a conductive and transparent layer of indium tin oxide (ITO) on the surface of the substrate. Features are defined on the templates by patterning a thin layer of PECVD oxide that is deposited on the ITO layer. A second method bypasses the oxide etch process by imaging a thin layer of hydrogen silsesquioxane (HSQ). By using a combination of these two methods, it is also possible to form multi-tiered structures on a template. Templates with features as small as 20 nm have been fabricated using the methods described above. The templates were then used to imprint patterns on 200 mm silicon wafers. It appears that any feature defined in the template is faithfully replicated on the wafer.


Journal of Vacuum Science & Technology B | 2004

Image placement issues for ITO-based step and flash imprint lithography templates

Kevin J. Nordquist; Eric S. Ainley; David P. Mancini; William J. Dauksher; Kathy A. Gehoski; J. H. Baker; Douglas J. Resnick; Z. Masnyj; Pawitter J. S. Mangat

Step and Flash Imprint Lithography (SFIL) is a revolutionary next generation lithography option that has become increasingly attractive in recent years. Elimination of the costly optics of current step and scan imaging tools makes SFIL a serious candidate for large-scale commercial patterning of critical dimensions below ~50 nm. This work focuses on the kinetics of the UV curing of the liquid etch barrier and the resulting densification/contraction of the etch barrier as it solidifies during this step. Previous experimental work in our group has measured the bulk densification of several etch barrier formulations, typically about 9 % (v/v). It remains unknown, however, how much etch barrier contraction occurs during the formation of nano-scale features. Furthermore, it is of interest to examine how changes in monomer pendant group size impact imprinted feature profiles. This work provides answers to these questions through a combination of modeling and experimental efforts. Densification due to the photopolymerization reaction and the resulting shift from Van der Waals’ to covalent interactions is modeled using Monte-Carlo techniques. The model allows for determination of extent of reaction, degree of polymerization, and local density changes as a function of the etch barrier formulation and the interaction energies between molecules (including the quartz template). Experimental efforts focus on a new technique to examine trench profiles in the quartz template using TEM characterization. Additionally, SEM images of imprinted images from various etch barrier formulations were examined to determine local contraction of the etch barrier. Over a large range of etch barrier formulations, which range from 10 - 20 % volumetric contraction as bulk materials, it was found that dense 100 nm lines printed approximately the same size and shape.

Collaboration


Dive into the Kevin J. Nordquist's collaboration.

Top Co-Authors

Avatar

S. V. Sreenivasan

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

John G. Ekerdt

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Stephen C. Johnson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Todd C. Bailey

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge