Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where F. Van Roey is active.

Publication


Featured researches published by F. Van Roey.


Proceedings of SPIE | 2007

A novel method for characterizing resist performance

D. Van Steenwinckel; Roel Gronheid; Jeroen Herman Lammers; A. M. Meyers; F. Van Roey; P. Willems

In this paper, the use of a single Figure-of-Merit to judge resist performance with respect to line width roughness, resolution and sizing dose is proposed and evaluated. Chemically amplified photoresists used in advanced lithography nodes need to fulfill stringent requirements for a considerable number of resist and process characteristics. Along with resolution, line width roughness and resist sensitivity are important examples where the specifications have become very tight. Previously, it has been shown that resolution, line width roughness and resist sensitivity are fundamentally interdependent. Hence, when evaluating or optimizing resist performance it is very important to take these three characteristics into consideration simultaneously. We propose to combine these characteristics in a single photoresist Figure of Merit KLUP. This Figure of Merit, which is determined from sizing dose, imaging wavelength, exposure latitude, acid diffusion length, line width roughness and pitch allows for a direct comparison of very different resist formulations independent of the exposure tool used. Thus, KLUP has great potential to assist in evaluating resist performance for the next lithography nodes, for both ArF and for EUV wavelengths.


Proceedings of SPIE | 2008

Imaging performance of the EUV alpha semo tool at IMEC

Gian F. Lorusso; Jan Hermans; Anne-Marie Goethals; Bart Baudemprez; F. Van Roey; Alan Myers; Insung Kim; Byung-Moo Kim; Rik Jonckheere; Ardavan Niroomand; Sjoerd Lok; A. Van Dijk; J.-F. de Marneffe; S. Demuynck; D. Goossens; Kurt G. Ronse

Extreme Ultraviolet Lithography (EUVL) is the leading candidate beyond 32nm half-pitch device manufacturing. Having completed the installation of the ASML EUV full-field scanner, IMEC has a fully-integrated 300mm EUVL process line. Our current focus is on satisfying the specifications to produce real devices in our facilities. This paper reports on the imaging fingerprint of the EUV Alpha Demo Tool (ADT), detailing resolution, imaging, and overlay performance. Particular emphasis is given to small pitch contact holes, which are a critical layer for advanced manufacturing nodes and one of the most likely layers where EUVL may take over from 193nm lithography. Imaging of contact holes, pattern transfer and successful printing of the contact hole level on a 32nm SRAM device is demonstrated. The impact of flare and shadowing on EUV ADT performance is characterized experimentally, enabling the implementation of appropriate mitigation strategies.


international electron devices meeting | 2009

Demonstration of scaled 0.099µm 2 FinFET 6T-SRAM cell using full-field EUV lithography for (Sub-)22nm node single-patterning technology

Anabela Veloso; S. Demuynck; Monique Ercken; Anne-Marie Goethals; S. Locorotondo; F. Lazzarino; E. Altamirano; C. Huffman; A. De Keersgieter; S. Brus; M. Demand; H. Struyf; J. De Backer; Jan Hermans; Christie Delvaux; Bart Baudemprez; Tom Vandeweyer; F. Van Roey; C. Baerts; D. Goossens; H. Dekkers; P. Ong; N. Heylen; K. Kellens; H. Volders; Andriy Hikavyy; C. Vrancken; M. Rakowski; Staf Verhaegen; Mircea Dusa

We demonstrate electrically functional 0.099µm<sup>2</sup> 6T-SRAM cells using full-field EUV lithography for contact and M1 levels. This enables formation of dense arrays without requiring any OPC/RET, while exhibiting substantial process latitudes & potential lower cost of ownership (single-patterning). Key enablers include: 1) high-k/metal gate FinFETs with L<inf>g</inf>∼40nm, 12–17nm wide Fins, and cell β ratio ∼1.3; 2) option for using an extension-less approach, advantageous for reducing complexity with 2 less I/I photos, and for enabling a better quality, defect-free growth of Si-epitaxial raised S/D; 3) use of double thin-spacers and ultra-thin silicide; 4) optimized W metallization for filling high aspect-ratio, ≥30nm-wide contacts. SRAM cell with SNM≫10%V<inf>DD</inf> down to 0.4V, and healthy electrical characteristics for the cell transistors [SS∼80mV/dec, DIBL∼50–80mV/V, and |V<inf>Tlin</inf>|≤0.2V (PMOS), V<inf>Tlin</inf>∼0.36V (NMOS)] are reported.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Top coat or no top coat for immersion lithography

Nickolay Stepanenko; Hyun-woo Kim; Shinji Kishimura; D. Van den Heuvel; Nadia Vandenbroeck; Michael Kocsis; Philippe Foubert; Mireille Maenhoudt; Monique Ercken; F. Van Roey; Roel Gronheid; Ivan Pollentier; Diziana Vangoidsenhoven; Christie Delvaux; C. Baerts; S. O'Brien; Wim Fyen; Greg Wells

Since the moment immersion lithography appeared in the roadmaps of IC manufacturers, the question whether to use top coats has become one of the important topics for discussions. The top coats used in immersion lithography have proved to serve as good protectors from leaching of the resist components (PAGs, bases) into the water. However their application complicates the process and may lead to two side effects. First, top coats can affect the process window and resist profile depending on the materials refractive index, thickness, acidity, chemical interaction with the resist and the soaking time. Second, the top coat application may increase the total amount of defects on the wafer. Having an immersion resist which could work without the top coat would be a preferable solution. Still, it is quite challenging to make such a resist as direct water/resist interaction may also result in process window changes, CD variations, generation of additional defects. We have performed a systematic evaluation of a large number of immersion resist and top coat combinations, using the ASML XT:1250Di scanner at IMEC. The samples for the experiments were provided by all the leading resist and top coat suppliers. Particular attention was paid to how the resist and top coat materials from different vendors interacted with each other. Among the factors which could influence the total amount of defects or CD variations on the wafer were: the materials dynamic contact angle and its interaction with the scanner stage speed, top coat thickness and intermixing layer formation, water uptake and leaching. We have examined the importance of all mentioned factors, using such analytical techniques as Resist Development Analyser (RDA), Quartz Crystal Microbalance (QCM), Mass Spectroscopy (MS) and scatterometry. We have also evaluated the influence of the pre- and pos- exposure rinse processes on the defectivity. In this paper we will present the data on imaging and defectivity performance of the resists with and without the use of top coats. So far we can conclude that top coat/resist approach used in immersion lithography needs some more improvements (i.e. process, materials properties) in order to be implemented in high volume manufacturing.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Full field EUV lithography: lessons learned on EUV ADT imaging, EUV resist, and EUV reticles

Eric Hendrickx; Anne-Marie Goethals; Ardavan Niroomand; Rik Jonckheere; F. Van Roey; Gian F. Lorusso; Jan Hermans; Bart Baudemprez; Kurt G. Ronse

One of the main experimental setups for EUV lithography is the ASML EUV Alpha-Demo Tool (ADT), which achieves the first full-field EUV exposures at a wavelength of 13.6nm and a numerical aperture of 0.25. We report on the assessment of the baseline imaging performance of the ADT installed at IMEC, and review the work done in relation to EUV reticles and resists. For the basic imaging performance of the ADT, we have studied 40 LS patterns through dose and focus and at multiple slit positions, to extract exposure latitude and depth of focus. Measurements of reticle CD vs. wafer CD were done to determine the Mask Error Enhancement Factor (MEEF) for dense features. We also discuss the uniformity of the different features across the field, and the factors that influence it. The progress in EUV resist performance has been tracked by screening new materials on the EUV ADT. Promising resist materials have been tested on the ASML ADT and have demonstrated sub 32nm Line/Space and 34nm dense contact hole resolution. One of the main topics related to EUV reticles is reticle defectivity along with reticle defect printability. We have experimentally measured the number of wafer defects that repeat from die-to-die after reticle exposure on the ADT. To examine the wafer signature of the repeating defects, a SEM-based defect review is then conducted. We have used rigorous simulations to show that the defect signature on wafer can correspond to a relatively large ML defect, which can print as a hollow feature.


Journal of Photopolymer Science and Technology | 2007

Status of EUV Lithography at IMEC

A. M. Goethal; R. Jockheere; C. F. Lurusso; Jan Hermans; F. Van Roey; Alan Myers; Ardavan Niroomand; Insung Kim; Fumio Iwamoto; N. Stepenenko; Kurt G. Ronse


Journal of Photopolymer Science and Technology | 2006

Progress in EUV resist Performance

Anne-Marie Goethals; Roel Gronheid; F. Van Roey; Harun H. Solak; Yasin Ekinci


Journal of Photopolymer Science and Technology | 2005

EUV Resist Screening: Current Performance and Issues

Anne-Marie Goethals; R. Gronheld; L. H. A. Leumissen; F. Van Roey; H. H. Solak


Journal of Photopolymer Science and Technology | 2003

Introducing 157 nm Full Field Lithography

Anne-Marie Goethals; P. De Bisschop; Jan Hermans; Rik Jonckheere; F. Van Roey; D. Van den Heuvel; Astrid Eliat; Kurt G. Ronse


Journal of Photopolymer Science and Technology | 2000

Implementation of ArF Resist Processes for 130nm and below

Anne-Marie Goethals; F. Van Roey; Geert Vandenberghe; Patrick Jaenen; Ingrid Pollers; Ivan Pollentier; Kurt G. Ronse

Collaboration


Dive into the F. Van Roey's collaboration.

Researchain Logo
Decentralizing Knowledge