Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Michael D. Stewart is active.

Publication


Featured researches published by Michael D. Stewart.


Journal of Vacuum Science & Technology B | 1999

Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography

Paul Ruchhoeft; Matthew E. Colburn; Byung Jin Choi; H. Nounu; Stephen C. Johnson; Todd C. Bailey; S. Damle; Michael D. Stewart; John G. Ekerdt; S. V. Sreenivasan; J. C. Wolfe; C. G. Willson

Submicron patterning of 1 in. diameter curved surfaces with a 46 mm radius of curvature has been demonstrated with step and flash imprint lithography (SFIL) using templates patterned by ion beam proximity printing (IBP). Concave and convex spherical quartz templates were coated with 700-nm-thick poly(methylmethacrylate) (PMMA) and patterned by step-and-repeat IBP. The developed resist features were etched into the quartz template and the remaining PMMA stripped. During SFIL, a low viscosity, photopolymerizable formulation containing organosilicon precursors was introduced into the gap between the etched template and a substrate coated with an organic transfer layer and exposed to ultraviolet illumination. The smallest features on the templates were faithfully replicated in the silylated layer.


Journal of Vacuum Science & Technology B | 2002

Acid catalyst mobility in resist resins

Michael D. Stewart; Hoang Vi Tran; Gerard M. Schmid; Timothy B. Stachowiak; Darren J. Becker; C. Grant Willson

In a chemically amplified resist absorbed photons generate stable catalyst molecules instead of directly switching resist solubility via photochemical reaction. This allows for much lower exposure doses to be used in imaging. Some catalyst mobility is necessary to achieve amplification since the catalyst must move from reaction site to reaction site, but a mobile catalyst can blur the deposited aerial image. Catalyst molecules that are free to move in exposed regions are also free to move into adjacent unexposed regions. Understanding acid catalyst diffusion in photoresist resins is complicated by the constantly changing chemical environment the diffusing catalyst experiences as the resist undergoes chemical reactions. The diffusing catalyst promotes chemical reactions which change the properties of its surrounding resin. In addition, it is possible a transient material state is generated by volatile reaction byproducts and their desorption from the film. In most photoresist systems it is impossible to se...


Journal of Vacuum Science & Technology B | 2006

Implementation of an imprint damascene process for interconnect fabrication

Gerard M. Schmid; Michael D. Stewart; Jeffrey Wetzel; Frank Palmieri; Jianjun Hao; Yukio Nishimura; Kane Jen; Eui Kyoon Kim; Douglas J. Resnick; J. Alexander Liddle; C. Grant Willson

Advanced integrated circuits require eight or more levels of wiring to transmit electrical signal and power among devices and to external circuitry. Each wiring level connects to the levels above and below it through via layers. The dual damascene approach to fabricating these interconnected structures creates a wiring level and a via level simultaneously, thereby reducing the total number of processing steps. However, the dual damascene strategy (of which there are several variations) still requires around 20 process steps per wiring layer. In this work, an approach to damascene processing that is based on step-and-flash imprint lithography (SFIL) is discussed. This imprint damascene process requires fewer than half as many steps as the standard photolithographic dual damascene approach. Through use of a template with two tiers of patterning, a single imprint lithography step can replace two photolithography steps. Further improvements in efficiency are possible if the imprint material is itself a functi...


Journal of Vacuum Science & Technology B | 1999

Study of resolution limits due to intrinsic bias in chemically amplified photoresists

Sergei V. Postnikov; Michael D. Stewart; Hoang Vi Tran; Mark A. Nierode; David R. Medeiros; Ti Cao; Jeffrey D. Byers; Stephen E. Webber; C. Grant Wilson

This article presents experimental results that suggest that classical Fickian diffusion cannot account for any significant fraction of the critical dimension bias observed in chemically amplified photoresists. A transport mechanism based on reaction front propagation is proposed as a possible explanation for the experimental observations.


Journal of Micro-nanolithography Mems and Moems | 2005

Nanofabrication with step and flash imprint lithography

Michael D. Stewart; Stephen C. Johnson; S. V. Sreenivasan; Douglas J. Resnick; C. Grant Willson

Step and flash imprint lithography (SFIL) has made tremendous progress since its initial development at The University of Texas at Austin in the late 1990s. The SFIL process went from laboratory to commercialization in under five years, and the number of technical hurdles that must be cleared before it is recognized as fully competitive with optical or EUV lithography for sub-50-nm patterning is dwindling. Patterning resolution has been demonstrated down to 20 nm, with the limit so far being only the template fabrication process. The SFIL method was developed from the beginning with the precision overlay/alignment requirements of multilevel device fabrication in mind. It was recognized that it would be inherently easier to achieve overlay and alignment accuracy with a constant temperature and low pressure imprinting process, and already tool designers have built on SFILs advantages to produce tools that are viable for multilayer device fabrication. Early tools have demonstrated better than 10-nm alignment resolution, and no insurmountable fundamental issues have been identified that would prevent alignment resolution from reaching the tight tolerances required for integrated circuit manufacturing. With any contact printing method, process-generated defects are a concern, but the SFIL process has proven to be surprisingly robust with an inherent self-cleaning mechanism for removing particle contamination. Furthermore, new template surface treatments have been developed that improve mold lifetime and minimize defect generation. SFIL shows promise as a low cost manufacturing tool for a wide variety of semiconductor, microelectromechanical, optoelectronic, microfluidic, and other devices. This work summarizes the state of development of step and flash imprint lithography and discusses its potential as a general nanofabrication tool.


Progress in Biomedical Optics and Imaging - Proceedings of SPIE | 2005

Direct Imprinting of Dielectric Materials for Dual Damascene Processing

Michael D. Stewart; Jeffery T. Wetzel; Gerard M. Schmid; Frank Palmieri; Ecron Thompson; Eui Kyoon Kim; David Wang; Kane Jen; Stephen C. Johnson; Jianjun Hao; Michael D. Dickey; Yukio Nishimura; Richard M. Laine; Douglas J. Resnick; C. Grant Willson

Advanced microprocessors require several (eight or more) levels of wiring to carry signal and power from transistor to transistor and to the outside world. Each wiring level must make connection to the levels above and below it through via/contact layers. The dual damascene approach to fabricating these interconnected structures creates a wiring level and a via level simultaneously, thereby reducing the total number of processing steps. However, the dual damascene strategy (of which there are several variations) still requires around twenty process steps per wiring layer. In this work, an approach to damascene processing that is based on step-and-flash imprint lithography (SFIL) is discussed. This imprint damascene process requires fewer than half as many steps as the standard photolithographic dual damascene approach. By using an imprint template with two levels of patterning, a single imprint lithography step can replace two photolithography steps. Further efficiencies are possible if the imprint resist material is itself a functional dielectric material. This work is a demonstration of the compatibility of imprint lithography (specifically SFIL) with back-end-of-line processing using a dual damascene approach with functional materials.


Advances in Resist Technology and Processing XXI | 2004

Resolution limitations in chemically amplified photoresist systems

Gerard M. Schmid; Michael D. Stewart; Chia-Ying Wang; Bryan D. Vogt; Vivek M. Prabhu; Eric K. Lin; C. G. Willson

A variety of experimental evidence suggests that positive-tone chemically amplified photoresists have an intrinsic bias that might limit resolution during high-volume lithographic processing. If this is true, the implications for the semiconductor industry require careful consideration. The design concept of chemical amplification is based on generation of a chemically stable catalytic species in exposed regions of the photoresist film. The catalytic action of the photoproducts on the photoresist polymer causes a change in the dissolution rate in the irradiated regions of the film. Formation of a stable catalyst species is required for chemical amplification, but it has long been recognized that catalyst migration can produce a difference between the initial distribution of exposure energy and the final distribution of photoproducts. This difference, known as diffusion bias, depends on the photoresist chemistry and processing conditions. Diffusion bias is insensitive to exposure conditions, but it is possible to reduce catalyst migration through changes to resist formulation such as increasing the size of the catalyst molecule or processing conditions such as reducing the post exposure bake temperature. Another common approach to limiting diffusion bias is to incorporate base additives into the photoresist formulation to scavenge diffusing acid catalyst. All of these approaches to reducing catalyst migration generally reduce the catalytic efficiency of each photoproduct and therefore increase the total exposure dose required to pattern the film. Increases in required exposure dosage reduce the throughput of the exposure tools and can reduce the profitability of the manufacturing process. In this paper we present experimental results that are suggestive of an intrinsic photoresist bias. This diffusion bias sets a minimum resolution limit for chemically amplified resist systems that can be improved at the cost of reduced throughput and productivity.


Journal of Vacuum Science & Technology B | 2002

Spatial distribution of reaction products in positive tone chemically amplified resists

Gerard M. Schmid; Michael D. Stewart; Vivek Singh; C. Grant Willson

The perpetual advancement of materials and equipment for microlithography has resulted in the ability to print critical dimensions that approach the size of the molecules that make up photoresists. As a result, molecular scale effects such as line edge roughness have become a concern for both resist manufacturers and process engineers. In this work we have investigated the increasing importance of molecular level effects, especially in terms of the contributions of the exposure and postexposure bake (PEB) steps to spatial variations in film composition. A mesoscale simulation of the PEB was used to model the discrete mass transport and reaction events that create the changes in film composition responsible for resist function. Local irregularities in resist composition are generated during the PEB, the magnitude of which can be related to the local concentration of acid. This study is focused on the establishment of an understanding of the effects of process and composition variables on the reaction produ...


Journal of Physical Organic Chemistry | 2000

Organic imaging materials: a view of the future

Michael D. Stewart; Kyle Patterson; Mark Somervell; C. Grant Willson

Over the last half century, the world semiconductor industry has provided phenomenal increases in computing power while simultaneously lowering production costs. This achievement is largely the result of the industry being able to print smaller and smaller features using photolithographic techniques. The organic imaging materials used in the photolithography (generally known as photoresists) have undergone many changes over the industrys history, and if the increases in computing speeds and decreases in costs are to continue in the future, more changes are necessary. This paper discusses the current generation of photoresists and the on-going development of future generation photoresist technologies. Copyright


Journal of Vacuum Science & Technology B | 2005

Vinyl ether formulations for step and flash imprint lithography

Eui Kyoon Kim; Michael D. Stewart; K. Wu; Frank Palmieri; Michael D. Dickey; John G. Ekerdt; C. G. Willson

Acrylates have been used as patterning monomers in step and flash imprint lithography. Vinyl ether formulations have a lower viscosity, faster curing rate, and higher tensile strength than acrylate formulations. However, the lack of commercially available, silicon-containing vinyl ether monomers has required the synthesis of several new vinyl ethers. An ideal monomer has low viscosity and low vapor pressure. The vapor pressure of silicon-containing vinyl ethers was predicted using the Joback-Reid, Lyderson, and Lee-Kesler methods. BVMDSO (1,1,3,3-tetramethyl-1,3-bis(vinyloxymethyl)-disiloxane) has the lowest viscosity of the synthesized silicon-containing vinyl ethers that meet the volatility requirement for a 80 pl dispense volume. The formulation of BVMDSO, CHDVE (cyclohexanedimethanol divinyl ether), and TEGDVE (triethylene glycol divinyl ether) shows good tensile strength and modulus. The formulation of BVMDSO, CHDVE, TEGDVE, and fluorinated acetate can print a 30 nm line because it has a low separati...

Collaboration


Dive into the Michael D. Stewart's collaboration.

Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Gerard M. Schmid

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Frank Palmieri

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

C. G. Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

John G. Ekerdt

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

S. V. Sreenivasan

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Stephen C. Johnson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Yukio Nishimura

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

C. Grant Wilson

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge