Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Gideon Jones is active.

Publication


Featured researches published by Gideon Jones.


Emerging Lithographic Technologies VIII | 2004

Status of EUV micro-exposure capabilities at the ALS using the 0.3-NA MET optic

Patrick P. Naulleau; Kenneth A. Goldberg; Erik H. Anderson; Kevin Bradley; Rene Delano; Paul Denham; Bob Gunion; Bruce Harteneck; Brian Hoef; Hanjing Huang; Keith Jackson; Gideon Jones; Drew Kemp; J.A. Liddle; Ron Oort; Al Rawlins; Senajith Rekawa; Farhad Salmassi; Ron Tackaberry; Carl Chung; Layton C. Hale; Don Phillion; Gary E. Sommargren; John S. Taylor

The success of recent static printing experiments at Lawrence Berkeley National Laboratory’s Advanced Light Source (ALS) using the EUV LLC Engineering Test Stand (ETS) Set-2 optic has demonstrated the utility of synchrotron-based EUV exposure stations. Although not viable light sources for commercial lithography, synchrotrons provide clean, convenient, and extremely flexible sources for developmental microfield lithography. The great flexibility of synchrotron-based illumination arises from the fact that such sources facilitate active coherence reduction, thus enabling the coherence function, or pupil fill, to be actively sculpted in real time. As the commercialization of EUV progresses, the focus of developmental EUV lithography is shifting from low numerical aperture (NA) tools such as the 0.1-NA ETS to higher-NA tools such as the 0.3-NA Micro Exposure Tool (MET). To support printing with MET optics at the ALS, a new printing station has been developed, relying on a scanning illuminator to provide programmable coherence (pupil-fill) control. The illuminator is designed to operate up to a coherence factor (s) of 1 and support the full 200′600 design printed field of view. In addition to a new illuminator design, new focus sensing and dose-control systems have also been implemented. Here we describe the MET printing capabilities in detail and present preliminary printing results with the Sematech Set-2 MET optic.


Proceedings of SPIE | 2011

Critical challenges for EUV resist materials

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Gideon Jones; Brittany M. McClinton; Ryan Miyakawa; Seno Rekawa; Nathan S. Smith

Although Extreme ultraviolet lithography (EUVL) is now well into the commercialization phase, critical challenges remain in the development of EUV resist materials. The major issue for the 22-nm half-pitch node remains simultaneously meeting resolution, line-edge roughness (LER), and sensitivity requirements. Although several materials have met the resolution requirements, LER and sensitivity remain a challenge. As we move beyond the 22-nm node, however, even resolution remains a significant challenge. Chemically amplified resists have yet to demonstrate the required resolution at any speed or LER for 16-nm half pitch and below. Going to non-chemically amplified resists, however, 16-nm resolution has been achieved with a LER of 2 nm but a sensitivity of only 70 mJ/cm2.


Proceedings of SPIE | 2010

The SEMATECH Berkeley MET pushing EUV development beyond 22-nm half pitch

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; David Chan; Paul Denham; Simi George; Kenneth A. Goldberg; Brian Hoef; Gideon Jones; Chawon Koh; Bruno La Fontaine; Brittany M. McClinton; Ryan Miyakawa; Warren Montgomery; Seno Rekawa; Tom Wallow

Microfield exposure tools (METs) play a crucial role in the development of extreme ultraviolet (EUV) resists and masks. One of these tools is the SEMATECH Berkeley 0.3 numerical aperture (NA) MET. Using conventional illumination this tool is limited to approximately 22-nm half pitch resolution. However, resolution enhancement techniques have been used to push the patterning capabilities of this tool to half pitches of 18 nm and below. This resolution was achieved in a new imageable hardmask which also supports contact printing down to 22 nm with conventional illumination. Along with resolution, line-edge roughness is another crucial hurdle facing EUV resists. Much of the resist LER, however, can be attributed to the mask. We have shown that intenssionally aggressive mask cleaning on an older generation mask causes correlated LER in photoresist to increase from 3.4 nm to 4.0 nm. We have also shown that new generation EUV masks (100 pm of substrate roughness) can achieve correlated LER values of 1.1 nm, a 3× improvement over the correlated LER of older generation EUV masks (230 pm of substrate roughness). Finally, a 0.5-NA MET has been proposed that will address the needs of EUV development at the 16-nm node and beyond. The tool will support an ultimate resolution of 8 nm half-pitch and generalized printing using conventional illumination down to 12 nm half pitch.


Proceedings of SPIE | 2008

Advanced extreme ultraviolet resist testing using the SEMATECH Berkeley 0.3-NA microfield exposure tool

Patrick P. Naulleau; Christopher N. Anderson; Jerrin Chiu; Kim Dean; Paul Denham; Kenneth A. Goldberg; Brian Hoef; Sungmin Huh; Gideon Jones; Bruno M. LaFontaine; Andy Ma; Dimitra Niakoula; Joo-on Park; Tom Wallow

Microfield exposure tools (METs) continue to play a dominant role in the development of extreme ultraviolet (EUV) resists. Here we present an update on the SEMATECH Berkeley 0.3-NA MET and summarize the latest test results from high-resolution line-space and contact-hole printing. In practice, the resolution limit of contact-hole printing is generally dominated by contact size variation that is often speculated to originate form shot noise effects. Such observations of photon-noise limited performance are concerning because they suggest that future increased resist sensitivity would not be feasible. Recent printing data, however, indicates that the contact size variation problem is currently not a result of shot noise but rather attributable to the mask in combination with the resist-dominated mask error enhancement factor (MEEF). Also discussed is the importance of the contribution of the system-level line-edge roughness (LER) to resist LER values currently obtained with the SEMATECH Berkeley MET. We present the expected magnitude of such effects and compare the results to observed trends in LER performance from EUV resists over the past few years.


Proceedings of SPIE | 2009

The SEMATECH Berkeley microfield exposure tool: learning at the 22-nm node and beyond

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Michael Goldstein; Brian Hoef; Russ Hudyma; Gideon Jones; Chawon Koh; Bruno La Fontaine; Brittany McClinton; Ryan Miyakawa; Warren Montgomery; John Roller; Thomas Wallow; Stefan Wurm

Microfield exposure tools (METs) continue to play a dominant role in the development of extreme ultraviolet (EUV) resists. One of these tools is the SEMATECH Berkeley 0.3-NA MET operating as a SEMATECH resist and mask test center. Here we present an update summarizing the latest resist test and characterization results. The relatively small numerical aperture and limited illumination settings expected from 1st generation EUV production tools make resist resolution a critical issue even at the 32-nm node. In this presentation, sub 22 nm half pitch imaging results of EUV resists are reported. We also present contact hole printing at the 30-nm level. Although resist development has progressed relatively well in the areas of resolution and sensitivity, line-edge-roughness (LER) remains a significant concern. Here we present a summary of recent LER performance results and consider the effect of system-level contributors to the LER observed from the SEMATECH Berkeley microfield tool.


Journal of Vacuum Science & Technology B | 2009

Pushing extreme ultraviolet lithography development beyond 22 nm half pitch

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Michael Goldstein; Brian Hoef; Gideon Jones; Chawon Koh; Bruno La Fontaine; Warren Montgomery; Tom Wallow

Microfield exposure tools (METs) have and continue to play a dominant role in the development of extreme ultraviolet resists and masks. One of these tools is the SEMATECH Berkeley 0.3 numerical aperture (NA) MET. Here, the authors investigate the possibilities and limitations of using the 0.3 NA MET for sub-22-nm half-pitch development. They consider mask resolution limitations and present a method unique to the centrally obscured MET, allowing mask patterning resolution limitations to be overcome. The method, however, comes at the cost of increased sensitivity to mask surface roughness. They also explore projection optics resolution limits and describe various illumination schemes allowing resolution enhancement. At 0.3 NA, the 0.5k1 factor resolution limit is 22.5 nm, meaning that conventional illumination is of limited utility for sub-22-nm development. In general, resolution enhancing illumination encompasses increased coherence. They study the effect of this increased coherence on line-edge roughness...


27th European Mask and Lithography Conference | 2011

Using synchrotron light to accelerate EUV resist and mask materials learning

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Gideon Jones; Brittany M. McClinton; Ryan Miyakawa; Iacopo Mochi; Warren Montgomery; Seno Rekawa; Tom Wallow

As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratorys Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.


Proceedings of SPIE | 2012

The SEMATECH Berkeley MET: demonstration of 15-nm half-pitch in chemically amplified EUV resist and sensitivity of EUV resists at 6.x-nm

Christopher N. Anderson; Dominic Ashworth; Lorie Mae Baclea-an; Suchit Bhattari; Rikos Chao; Rene Claus; Paul Denham; Kenneth A. Goldberg; Andrew Grenville; Gideon Jones; Ryan Miyakawa; Ken Murayama; Hiroki Nakagawa; Senajith Rekawa; Jason K. Stowers; Patrick P. Naulleau

EUV exposures at the SEMATECH Berkeley Microfield Exposure Tool have demonstrated patterning down to 15 nm half pitch in a chemically amplified resist at a dose of 30 mJ/cm2. In addition, the sensitivity of two organic chemically amplified EUV resists has been measured at 6.7 nm and 13.5 nm and the sensitivity at 6.7 nm is shown to be a factor of 6 lower than the sensitivity at 13.5 nm. The reduction of the sensitivity of each resist at 6.7 nm relative to the sensitivity at 13.5 is shown to be correlated to a reduction of the mass attenuation coefficients of the elements involved with photoabsorption.


Microelectronic Engineering | 2009

22-nm Half-pitch extreme ultraviolet node development at the SEMATECH Berkeley microfield exposure tool

Patrick P. Naulleau; Christopher N. Anderson; Jerrin Chiu; Paul Denham; Simi George; Kenneth A. Goldberg; Michael Goldstein; Brian Hoef; Russ Hudyma; Gideon Jones; Chawon Koh; Bruno La Fontaine; Andy Ma; Warren Montgomery; Dimitra Niakoula; Joo-On Park; Tom Wallow; Stefan Wurm


Archive | 2010

The SEMATECH Berkeley MET: Bridging the gap to 16-nm half pitch development

Patrick Naulleau; Christopher N. Anderson; Lorie Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Brian Hoef; Gideon Jones; Brittney McClinton; Ryan Miyakawa; Warren Montgomery; Seno Rekawa; Tom Wallow

Collaboration


Dive into the Gideon Jones's collaboration.

Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Paul Denham

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Brian Hoef

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Simi George

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Lorie-Mae Baclea-an

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Ryan Miyakawa

Lawrence Berkeley National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge