Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Warren Montgomery is active.

Publication


Featured researches published by Warren Montgomery.


Proceedings of SPIE | 2010

The SEMATECH Berkeley MET pushing EUV development beyond 22-nm half pitch

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; David Chan; Paul Denham; Simi George; Kenneth A. Goldberg; Brian Hoef; Gideon Jones; Chawon Koh; Bruno La Fontaine; Brittany M. McClinton; Ryan Miyakawa; Warren Montgomery; Seno Rekawa; Tom Wallow

Microfield exposure tools (METs) play a crucial role in the development of extreme ultraviolet (EUV) resists and masks. One of these tools is the SEMATECH Berkeley 0.3 numerical aperture (NA) MET. Using conventional illumination this tool is limited to approximately 22-nm half pitch resolution. However, resolution enhancement techniques have been used to push the patterning capabilities of this tool to half pitches of 18 nm and below. This resolution was achieved in a new imageable hardmask which also supports contact printing down to 22 nm with conventional illumination. Along with resolution, line-edge roughness is another crucial hurdle facing EUV resists. Much of the resist LER, however, can be attributed to the mask. We have shown that intenssionally aggressive mask cleaning on an older generation mask causes correlated LER in photoresist to increase from 3.4 nm to 4.0 nm. We have also shown that new generation EUV masks (100 pm of substrate roughness) can achieve correlated LER values of 1.1 nm, a 3× improvement over the correlated LER of older generation EUV masks (230 pm of substrate roughness). Finally, a 0.5-NA MET has been proposed that will address the needs of EUV development at the 16-nm node and beyond. The tool will support an ultimate resolution of 8 nm half-pitch and generalized printing using conventional illumination down to 12 nm half pitch.


Proceedings of SPIE | 2011

Development of an inorganic nanoparticle photoresist for EUV, e-beam, and 193nm lithography

Marie Krysak; Markos Trikeriotis; Evan L. Schwartz; Neal Lafferty; Peng Xie; Bruce W. Smith; Paul Zimmerman; Warren Montgomery; Emmanuel P. Giannelis; Christopher K. Ober

We have developed a transparent, high refractive index inorganic photoresist with significantly higher etch resistance than even the most robust polymeric resist. As feature sizes continue to decrease, film thickness must be reduced in order to prevent pattern collapse. Normally thinner films prevent sufficient pattern transfer during the etch process, creating the need for a hardmask, thus increasing production cost. Compared to PHOST, we have shown over 10 times better etch resistance. Organic photo-crosslinkable ligands have been attached to a hafnium oxide nanoparticle core to create an imageable photoresist. This resist has shown superior resolution with both E-beam and 193 nm lithography, producing sub-50 nm patterns. In addition to improved etch resistance, the inorganic photoresist exhibits a high refractive index, increasing the depth of focus (DOF). The nanoparticle size of ~ 1-2 nm has the potential to reduce line edge roughness (LER).


Proceedings of SPIE | 2011

Line width roughness control and pattern collapse solutions for EUV patterning

Karen Petrillo; George Huang; Dominic Ashworth; Jacque Georger; Liping Ren; Kyoungyoung Cho; Warren Montgomery; Stefan Wurm; Shinichiro Kawakami; Shannon W. Dunn; Akiteryu Ko

Line width roughness (LWR) control is a critical issue in extreme ultraviolet lithography (EUVL). The difficulty of controlling LWR and the need to minimize it have grown as the sensitivity of materials and resolution in the resist patterning process has improved. Another critical feature that has become difficult to control in EUVL and 22nm half-pitch systems is pattern collapse. The increase of aspect ratio that comes from further scaling promotes the onset of pattern collapse. Both pattern collapse and LWR are easily observed in EUVL and leading-edge ArF immersion lithography. This paper will demonstrate recent gains in LWR control in leading EUV films using track-based processes, etch-based improvements, and the results of combined techniques. Also the use of a newly developed EUV-specific FIRM™ rinse chemistry to reduce pattern collapse will be discussed along with future development activities and industry requirements for both LWR and pattern collapse.


Proceedings of SPIE | 2009

The SEMATECH Berkeley microfield exposure tool: learning at the 22-nm node and beyond

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Michael Goldstein; Brian Hoef; Russ Hudyma; Gideon Jones; Chawon Koh; Bruno La Fontaine; Brittany McClinton; Ryan Miyakawa; Warren Montgomery; John Roller; Thomas Wallow; Stefan Wurm

Microfield exposure tools (METs) continue to play a dominant role in the development of extreme ultraviolet (EUV) resists. One of these tools is the SEMATECH Berkeley 0.3-NA MET operating as a SEMATECH resist and mask test center. Here we present an update summarizing the latest resist test and characterization results. The relatively small numerical aperture and limited illumination settings expected from 1st generation EUV production tools make resist resolution a critical issue even at the 32-nm node. In this presentation, sub 22 nm half pitch imaging results of EUV resists are reported. We also present contact hole printing at the 30-nm level. Although resist development has progressed relatively well in the areas of resolution and sensitivity, line-edge-roughness (LER) remains a significant concern. Here we present a summary of recent LER performance results and consider the effect of system-level contributors to the LER observed from the SEMATECH Berkeley microfield tool.


Journal of Vacuum Science & Technology B | 2007

Are extreme ultraviolet resists ready for the 32nm node

Karen Petrillo; Yayi Wei; Robert L. Brainard; Greg Denbeaux; Dario L. Goldfarb; Chiew-seng Koay; Jeff Mackey; Warren Montgomery; W. Pierson; Tom Wallow; O. R. Wood

The International Technology Roadmap for Semiconductors (ITRS) insertion point of extreme ultraviolet (EUV) lithography is the 32nm half-pitch node, and significant worldwide effort is being focused toward this goal. Potential road blocks have been identified and are being addressed. Readiness of EUV photoresists is one of the risk areas. According to the ITRS (www.itrs.net), a production-worthy EUV resist at 32nm half-pitch has to have a photospeed of ∼5mJ∕cm2 and line edge roughness (3σ) of 1.4nm. Toward this goal, the joint INVENT activity (AMD, CNSE, IBM, Micron, and Qimonda) at Albany has evaluated a broad range of EUV photoresists on various EUV exposure tools worldwide, including EUV MET at Lawrence Berkeley National Laboratory, EUV MET at SEMATECH Albany, and EUV interferometer at the Paul Scherrer Institute, Switzerland. This article will give a survey of the results, assessing the strengths and weaknesses of current materials.


Journal of Vacuum Science & Technology B | 2009

Pushing extreme ultraviolet lithography development beyond 22 nm half pitch

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Michael Goldstein; Brian Hoef; Gideon Jones; Chawon Koh; Bruno La Fontaine; Warren Montgomery; Tom Wallow

Microfield exposure tools (METs) have and continue to play a dominant role in the development of extreme ultraviolet resists and masks. One of these tools is the SEMATECH Berkeley 0.3 numerical aperture (NA) MET. Here, the authors investigate the possibilities and limitations of using the 0.3 NA MET for sub-22-nm half-pitch development. They consider mask resolution limitations and present a method unique to the centrally obscured MET, allowing mask patterning resolution limitations to be overcome. The method, however, comes at the cost of increased sensitivity to mask surface roughness. They also explore projection optics resolution limits and describe various illumination schemes allowing resolution enhancement. At 0.3 NA, the 0.5k1 factor resolution limit is 22.5 nm, meaning that conventional illumination is of limited utility for sub-22-nm development. In general, resolution enhancing illumination encompasses increased coherence. They study the effect of this increased coherence on line-edge roughness...


Proceedings of SPIE | 2011

The SEMATECH Berkeley MET: extending EUV learning down to 16nm half pitch

Christopher N. Anderson; Lorie Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Michael S. Jones; Nathan S. Smith; Thomas Wallow; Warren Montgomery; Patrick P. Naulleau

Several high-performing resists identified in the past two years have been exposed at the 0.3-numerical-aperture (NA) SEMATECH Berkeley Microfield Exposure Tool (BMET) with an engineered dipole illumination optimized for 18-nm half pitch. Five chemically amplified platforms were found to support 20-nm dense patterning at a film thickness of approximately 45 nm. At 19-nm half pitch, however, scattered bridging kept all of these resists from cleanly resolving larger areas of dense features. At 18-nm half pitch, none of the resists were are able to cleanly resolve a single line within a bulk pattern. With this same illumination a directly imageable metal oxide hardmask showed excellent performance from 22-nm half pitch to 17-nm half pitch, and good performance at 16-nm half pitch, closely following the predicted aerial image contrast. This indicates that observed limitations of the chemically amplified resists are indeed coming from the resist and not from a shortcoming of the exposure tool. The imageable hardmask was also exposed using a Pseudo Phase-Shift-Mask technique and achieved clean printing of 15-nm half pitch lines and modulation all the way down to the theoretical 12.5-nm resolution limit of the 0.3-NA SEMATECH BMET.


Proceedings of SPIE | 2010

Non-chemically amplified resists for 193-nm immersion lithography: influence of absorbance on performance

Lan Chen; Yong Keng Goh; Kirsten Jean Lawrie; Bruce W. Smith; Warren Montgomery; Paul Zimmerman; Idriss Blakey; Andrew K. Whittaker

The feasibility of three polymer systems for use as non chemically amplified resists for 193 nm lithography are discussed. The three systems are polycarbonates, polyphthalaldehydes and polysulfones. In general it was found that increased absorbance resulted in higher sensitivity to 193 nm light. However, the exception to this was the polycarbonates, which were found to undergo crosslinking due to an alkene group present in the polymer backbone. Although polyphthalaldehydes were very sensitive, their absorbance values were too high to be useful in a commercial environment. Absorbing polysulfones were found to be sensitive to 193 nm light and initial patterning results have been presented.


Proceedings of SPIE | 2016

Benchmarking study of EUV resists for NXE:3300B

Yu-Jen Fan; Mac Mellish; Jun Sung Chun; Scott McWilliams; Cecilia Montgomery; Warren Montgomery

EUV lithographers have continued to reduce the barriers to high Volume Manufacturing (HVM) introduction. Tool, mask and photoresist manufacturers have made excellent progress on several fronts, including resolution of many EUV source related issues, resists for early imaging characterization, and defect inspection tooling. In this discussion, we will focus on photoresist development. For many years, the team at SUNY Polytechnic Institute (SUNY Poly) has provided results from a neutral photoresist benchmarking study, which has been quite useful in establishing the limits of currently available photoresist systems [1-5]. New photoresist systems are being developed with improving resolution, but they also have lower coated thicknesses. In an effort to continue to point out potential lithographic problem areas, SUNY Poly has been evaluating the ‘etch compatibility’ of the best performing photoresists available in order to determine if the decreasing aspect ratios would prove a detriment to etch performance. In this paper, we will show data from our most recent benchmark study. We will also include smoothing process results, as well as some post-etch results obtained using the NXE:3300B resident on the SUNY Poly campus.


Journal of Micro-nanolithography Mems and Moems | 2016

Optical critical dimension metrology for directed self-assembly assisted contact hole shrink

Dhairya Dixit; Avery Green; Erik R. Hosler; Vimal Kamineni; Moshe Preil; Nick Keller; Joseph Race; Jun Sung Chun; Michael O’Sullivan; Prasanna Khare; Warren Montgomery; Alain C. Diebold

Abstract. Directed self-assembly (DSA) is a potential patterning solution for future generations of integrated circuits. Its main advantages are high pattern resolution (∼10  nm), high throughput, no requirement of high-resolution mask, and compatibility with standard fab-equipment and processes. The application of Mueller matrix (MM) spectroscopic ellipsometry-based scatterometry to optically characterize DSA patterned contact hole structures fabricated with phase-separated polystyrene-b-polymethylmethacrylate (PS-b-PMMA) is described. A regression-based approach is used to calculate the guide critical dimension (CD), DSA CD, height of the PS column, thicknesses of underlying layers, and contact edge roughness of the post PMMA etch DSA contact hole sample. Scanning electron microscopy and imaging analysis is conducted as a comparative metric for scatterometry. In addition, optical model-based simulations are used to investigate MM elements’ sensitivity to various DSA-based contact hole structures, predict sensitivity to dimensional changes, and its limits to characterize DSA-induced defects, such as hole placement inaccuracy, missing vias, and profile inaccuracy of the PMMA cylinder.

Collaboration


Dive into the Warren Montgomery's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Paul Denham

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Simi George

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Gideon Jones

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Brian Hoef

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge